新闻中心

EEPW首页 > 嵌入式系统 > 设计应用 > 一个合格FPGA 工程师的基本要求

一个合格FPGA 工程师的基本要求

作者:时间:2017-10-13来源:网络收藏

一个合格的工程师需要掌握哪些知识?这里根据自己的一些心得总结一下,其他朋友可以补充啊。

1.语言及其于硬件电路之间的关系。

2.器件结构(最好熟练掌握Spartan3,VerTIx4系列的器件结构,及其资源于行为描述方法的关系。)。

本文引用地址://m.amcfsurvey.com/article/201710/365640.htm

3.开发工具(熟练掌握Synplify,Quartus,ISE,Modelsim)。

4.数字电路(组合电路,触发器,特别是D触发器构成分频器,奇数倍分频占空比为50%,时序电路,并且能用语言描叙。)。

5.熟悉设计流程(仿真,综合,布局布线,时序分析)。

6.熟练掌握资源估算(特别是slice,lut,ram等资源的估算)。

7.同步设计原理。

8.熟练掌握基本概念(如建立时间,保持时间,流量(即所做设计的波特率)计算,延迟时间计算(所做FPGA设计),竞争冒险,消除毛刺的方法等等)。

9.具备具体设计经验(对应届生而言如毕业设计)。

10.良好的设计思路(流水线设计即熟称打拍子,在速率资源功耗之间的折中考虑)。



关键词: FPGA Verilog

评论


相关推荐

技术专区

关闭