新闻中心

EEPW首页>汽车电子>高端访谈> 实时调试与验证解决FPGA开发的关键瓶

实时调试与验证解决FPGA开发的关键瓶

——
作者:郭晶 时间:2007-03-14 来源:中电网 收藏

  在设计中的各种硬件核心中,随着门数的增多和速度的加快,EDA开发工具越来越高效,更具便利性和灵活性的无疑是当前系统设计中的热门选择。而随着设计的完善程度和复杂程度不断增长,产品开发周期的限制,调试验证的重要性愈发突出。对此热点话题,笔者特别采访了泰克逻辑分析仪市场策略经理Mike Juliana先生,请他就FPGA的设计和验证做出专门阐述。

  FPGA开发流程包括设计阶段和调试阶段,设计阶段的任务是设计录入、设计实施、仿真,调试和验证阶段的任务是在线验证检验设计,校正发现的任何漏洞。在设计阶段,仿真调试能够缩短调试时间,发现和排除显而易见的错误,但是仿真很难对真实世界的数据进行校验,很难仿真定时错误和异步事件。在调试阶段时,需要由测试工程师在真实的FPGA运行中测试,仿真层不能够覆盖的部分则用测试来实现。

  对于当前复杂的FPGA开发阶段,Mike Juliana先生认为,仿真实际应该是设计的第一步骤,而调试是必须进行的第二步骤,不能只通过仿真来完成设计和调试。仿真只能覆盖低速、门数较少的FPGA,而高速、复杂的设计中,调试是必须进行的开发阶段。

  过去,设计人员有两种FPGA调试方法,内嵌式调试方法和外部逻辑分析仪调试。内嵌式逻辑分析仪,采用FPGA的片内资源进行编制逻辑分析功能,FPGA厂家提供了很多内嵌的调试方法和软件工具,如Altera的SignalTap II,Xilinx的ChipScope TM ILA,以及Actel的CLAM 。但FPGA片内资源有限,并且内嵌式调试仅仅支持状态分析方式,不能进行实时调试。外部逻辑分析仪,FPGA可以将信号通过引脚的方式引出,用逻辑分析仪采集和分析信号。但需要占用许多的FPGA引脚,如果要改变FPGA的内部必须重新编译。

  并且随着设计尺寸和复杂程度不断提高,内部节点与外部管脚的矛盾成为FPGA内部信号访问的最大障碍。对此,测试测量行业的两大巨头泰克与安捷伦都选择使用了JTAG口通过自动方式映射内部节点,加速工程师FPGA调试过程,减少在发现问题时返回设计阶段的步骤和时间。

  泰克FPGAView解决方案综合了两种传统的调试方式,借助调试软件,创建和插入测试代码,利用工程师所设计的测试核,把FPGA针脚映射到逻辑分析仪,自动更新节点与管脚的对应关系。FPGAView可以即时移动探点,而不需重新汇编设计。同时它能够把内部FPGA信号活动与电路板级信号关联起来,在满足时间表方面起到事半功倍的作用。对于Altera的FPGA产品,可直接利用其自带的测试核 Quartus V5.15W,而Xilinx产品可以借助FS2 TestCore进行调试。目前泰克逻辑分析仪可达到1.25Ga/s采样速率,完全支持市场中200-300M中高速度的FPGA调试。

  此外,Mike Juliana先生建议,对于FPGA的调试工作,除了需要选择合适的调试工具之外,还需要注意另外两点,首先要做好仿真阶段,其次要制定完整的调试战略。工程师需要在设计阶段就考虑哪些信号需要测试,在设计时制定好调试战略,根据战略来选择调试方案。实际上,这个问题经常被设计工程师所忽视,导致加重测试阶段的时间和复杂度。在制定调试战略时,需要观察两部分,包括状态机及各个状态机之间的关系,总线内部以及之间的关系,从而发现典型性的问题和潜在性的问题。

  对于未来FPGA的发展趋势,Mike Juliana先生认为FPGA将会变得更加复杂,很多功能都在片上来实现,SOC将成为未来的主要设计方向。此外,更多的FPGA中将会内嵌处理器和控制器。而对此泰克的很多方案诸如模块化结构和硬件的增值服务,可以使不同领域的仪器结合在一起,观测数字世界。



评论


相关推荐

技术专区

关闭