工业控制 | 能源技术 | 汽车电子 | 通信网络 | 安防监控 | 智能电网 | 移动手持 | 无线技术 | 家用电器 | 数字广播 | 消费电子 | 应用软件 | 其他方案

电路设计->综合电路图->综合电路图->模5计数器设计步骤及程序解析

模5计数器设计步骤及程序解析

作者:fanxiaoxi 时间:2022-11-15

本文为大家介绍模5计数器设计步骤及程序解析。

工作原理

1. ISE软件是一个支持数字系统设计的开发平台。

2. 用ISE软件进行设计开发时基于相应器件型号的。 注意:软件设计时选择 的器件型号是与实际下载板上的器件型号相同。

3. 模-5计数器就是从0到4重复计数。也就是说,它一共要经历5个状态,输出从000变到100然后再回到000。

模5计数器设计步骤

1.进入modelsim后点击file ----》 new ----》project.之后出现一个建立工程的对话框,键入工程名后,再在下面路径添加一个自己的文件夹。确认后会弹出一个对话框,点击creat New File,在工程下建立两个文件分别功能模块文件的测试激励模块文件。在弹出的窗口中输入项目的名称和存储位置。将文件储存在桌面的临时文件夹中,相关截图如下:

建立VerilogHDL文件:在“File—》New”的窗口中选择建立Verilog HDL文件。

可以在File name中输入文件名,再次单击Next后,接着选择实验板的型号。

2.编译源文件代码:选择“Processing-》Start CompilaTIon”或点击快捷键开始编译,编译通过后可以查看生成的Report和运行进程。如图:

3.编译,如果程序出错对程序进行修改。之后再编译,编译成功后点击simulate进行仿真。保存文件后,再次选择“File—》New”,新建仿真波形:




评论

技术专区