新闻中心

EEPW首页>EDA/PCB>新品快递> SpringSoft发表Laker定制IC设计平台与全新模拟原型工具

SpringSoft发表Laker定制IC设计平台与全新模拟原型工具

—— 对28与20纳米定制设计流程增加创新的自动化功能
作者: 时间:2012-04-27 来源:电子产品世界 收藏

  在版图设计中,自动化工具的规则驱动(rule-driven)采用新的DRC引擎,解决20纳米的设计规则。对于在20纳米的设计中,采用荣获大奖的明导Calibre RealTime交互式DRC工具,面对相当关键的“sign-off” 设计规则进行版图编辑。此外,自动化功能从前仅支持MCell™参数化组件,从这个版本开始支持可互通的PyCells。

本文引用地址://m.amcfsurvey.com/article/131861.htm

  东芝信息系统的首席专家岩田鹫田表示:「我们已经使用Laker当作我们标准的定制IC版图工具很多年了,它帮我们成功地开发多颗芯片并降低设计反复所耗费的时间。有了更强大的OpenAccess可互通能力,精致的图形使用界面(GUI),和效能更高的Laker3,我们期待提供更高的生产力给我们的客户。」

新模拟原型工具 (New Analog Prototyping Tool)

  Laker 模拟原型工具直接整并于Laker SDL流程之内,它将分析先进制程效应的流程自动化,并产生约束条件以指引电路版图。这个快速的原型流程使得设计循环变成更可预测,相较于传统方法,用更少的时间就可改善生产力,而不需要浪费在版图设计完后的事后调整。主要的特点有:聪明的版图技术可以自动产生多组没有DRC错误且可布线的选项,阶层式的架构可以处理上以千计的晶体管,和完全支持所有工业标准的参数化组件格式,包括:MCells, PyCells, C++ PCells, 和 Tcl PCells。  

定制IC解决方案营销资深处长Dave Reed指出:「Laker是被广泛使用、且全力支持可互通PDK、与多家厂商工具流程的定制设计解决方案。新Laker3平台的基础是建立在与世界各地的客户合作,和我们对下一代技术的持续投资所取得的经验之上。而与技术先进的公司在20纳米上的合作,可为先进的各方面需求驱动重要的新能力。」


上一页 1 2 下一页

评论


相关推荐

技术专区

关闭