新闻中心

EEPW首页>嵌入式系统>设计应用> Verilog模拟PS2协议的方法

Verilog模拟PS2协议的方法

作者: 时间:2012-03-21 来源:网络 收藏



基本按键,键盘会发送“F0”+“键码”
扩展按键,则发送“E0”+“F0”+“键码”
大家都来试一下吧,一个下午就能搞定!

附程序,晶振频率降至1MHz,用LED输出键值
//==============================================================
module ps2(clk, rst, ps2_clk, ps2_data, data ,data2);

input clk, rst, ps2_clk , ps2_data;
output [10:0] data;
output [10:0] data2;

reg [3:0] i;
reg [10:0] data;//another fifo
reg [10:0] data2;

reg [2:0] ps2_clkr;//用一个fifo来采样ps2_clk信号;
always @(posedge clk)
ps2_clkr = {ps2_clkr[1:0], ps2_clk};

wire ps2_clk_risingedge = (ps2_clkr[2:1]==2'b01); // now we can detect ps2_clk rising edges
wire ps2_clk_fallingedge = (ps2_clkr[2:1]==2'b10); // and falling edges

always @(posedge clk)
if(rst)
i = 0;
else
begin
if(ps2_clk_fallingedge)
begin
data2[i] = data[i];
data[i] = ps2_data;
if(i10) i = i+1;
else i = 0;
end
end

endmodule

本文引用地址: //m.amcfsurvey.com/article/149372.htm
上一页 1 2 下一页

评论


相关推荐

技术专区

关闭