关 闭

新闻中心

EEPW首页 > 工控自动化 > 设计应用 > 基于DSP的激光打标控制器设计

基于DSP的激光打标控制器设计

作者:时间:2012-09-17来源:网络收藏

由于使用的振镜的驱动电压范围也是±5 V,在本系统中各通道的参考电压VREF(+)和VREF(-)分别接±2.5 V。精确±2.5 V参照电压在硬件电路中采用Microehip公司的专用2.5 V电压基准源MCPl525和OP运算放大器MCP606。如图3所示,+2.5 V的电压基准由MCPl525产生后,为了降低噪音,采用了RC低通滤波和MCP606,在这里MCP606作为电压跟随器使用。如图4所示,-2.5 V电压则由+2.5 V通过两个等值电阻和MCP606构成的分压器产生。

AD7836和的引脚电压不同,故不能直接相连,系统中选用了SN74ALVCl64245芯片来隔离两边的数据总线。其输出使能控制引脚OE1和OE2均接低电平,数据线的方向DIR1和DIR2都接高电平,保证芯片输出端随输入端即时变化。

2.3 扩展数据存储器

存储器用来暂存从上位机传来的数据,外部扩展存储器采用IS61LV51216,为512 kB,16位的SRAM存储器,共有19位地址总线,16位数据总线。本系统中SARAM存取时间为10 ns,CMOS工艺,3.3 V供电,输入输出为TTL兼容,适合作为的外部扩展存储器。存储器通过的外部接口XINTF扩展,采用F2812的/XZCS6AND7引脚作为片选,因此对应于存储器映射的外部接口6区,地址范围为0X10 0000~0X17FFFF。

2.4 CPLD系统

本系统中CPLD采用Altera公司的MAX3000A系列的EPM3256A芯片,CPLD的固件用软件MAX+plusII。CPLD主要用来控制USB芯片的数据传输,并由其扩展出中断按键和液晶显示模块。另外DSP系统预留了多路GPIO接口,可以控制步进电机组成的多维运动控制平台。通过预留GPIO口并使用CPLD芯片,使系统的可扩展性增强。

3 系统软件开发

控制系统的软件由两部分组成,上位机采用可视化面向对象语言VB 6.O,主要负责数据的产生和打包传输,以及用户界面实现人机交互;下位机DSP由C/C++编写系统程序,用TI公司提供的高效的C编译器和集成开发环境:代码工作室(CCS)来开发。控制系统的主要流程图如图5所示。

图5 控制系统的主要流程

机开机后下位机程序根据用户界面上参数对各芯片和存储器的初始化,参数包括能量、扫描次数、文字填充方式等。然后等待上位机由USB接口传送打标数据,打标数据先保存在数据存储器。这时无论从用户界面还是键盘上发出开始打标指令后,DSP从数据存储器中取出打标数据,送入D/A芯片中。D/A芯片输出相应的电压驱动振镜,直到完成本次打标。相同的打标图形只需传输一次数据,从软件或键盘上选择开始加工按钮即可。

在进行图形打标时,导入图形文件并作图像处理后,转化成打标位置数据;文字打标时在VisualBasic中调用Windows API函数GetGly-phOutline得到文字的外形轮廓,可以进行放缩、旋转等操作而不影响文字显示质量,并通过DrawGlyph函数将其显示效果在用户界面上显示。图6是软件用户界面汉字的显示效果。

4 结束语

文中介绍了一种TMS320F2812DSP的打标,采用了一个4路输出的D/A转换器来控制振镜和电源,高性能D/A转换模块使激光打标的速度和精度有较大提高,使用USB接口使打标可以即插即用,且提升了数据传输的稳定性。系统上位机用Visual Basic编程,下位机用C语言编程,程序移植容易。开发出的打标系统在速度、精度上均达到了理想的效果。文中描述了软硬件系统,对振镜式激光打标控制器的设计有普遍的参考价值。


上一页 1 2 下一页

评论


相关推荐

技术专区

关闭