新闻中心

EEPW首页>模拟技术>设计应用> 频率合成器的设计

频率合成器的设计

作者: 时间:2009-11-27 来源:网络 收藏


即K0为连续两次采样之间的相位增量△ψ,并可得相位序列和K(n)的差分方程
ψ(n)-ψ9(n-1)=K(n-1)
其系统模型,如图5所示,这是以K(n)为激励序列,ψ(n)为响应序列构成的一个离散信号系统,这是一个线性系统。不难看出相位序列的实现过程实质上是一个相位增量K0进行线性累加的过程。

本文引用地址://m.amcfsurvey.com/article/188494.htm


在工程实践中,可采用相位累加器来实现上述的相位累加过程。相位累加器结构,如图6所示,它用N位数字全加器和Ⅳ位数字寄存器构成,K为输入参数,用以改变每次累加的相位增量。

相位累加器的工作过程为:每当一个采样时钟脉冲到来时,加法器就将输入参数K与寄存器输出的数据相加,相加后的结果再送至寄存器。当相位累加器累积满量时,就会产生一次溢出,从而完成一个周期性的累加动作。由相位累加器的值所构成的相位序列可表示为



在相位累加器中,如果采用N位字长的数字寄存器来存储正弦波形一个周期内的抽样后的离散相位,这实际上是对[0,2π]的相位区间进行N位字长的线性量化,其等效结果是使输入参数K和相位增量K0之间建立了一个一一映射的关系

累加器的累加周期即是DDS合成信号的一个频率周期,其值为2N/K个时钟周期。因此合成信号的频率为

这就是DDS输出信号的频率关系表达式,在一定的时钟频率之下,K决定了合成信号的频率,故K被称为频率控制字。
从以上分析不难看出,K也决定了每次累加的相位增量。例如,K=1,其采样相位增量K=2,则K越大,每个时钟周期抽样跨越的相位越大,相位累加器的溢出所需时钟脉冲的个数越少,也即DDS合成信号的频率越高。由此可见,在一定频率的时钟信号作用下,改变每次累加的相位增量,即能改变DDS信号频率。
在得到频率为f0的余弦信号量化的数字的相位序列ψ(n)之后,接着需要实现的是数字相位序列到幅度序列f(n)的转化。根据式(4)和式(5),相位序列ψ(n)和幅度序列f(n)之间有着确定的对应关系。如果将这对应关系固化在一个只读存储器(ROM)中,并且以ψ(n)作为只读存储器的存储单元的地址,而量化后的正弦波形幅度序列f(n)是存储单元的内容。那么,当以相位累加器输出的相位序列ψ(n)对只读存储器寻址时,存储器的输出即为幅度序列f(n)。由f(n)即可构造fs(t),进而得到f(t)实现DDS。
这里需要说明的是,在实际工程中,单位冲激函数是很难实现的,实际抽样往往采用脉冲串函数,其表达式如下

由此可得抽样函数为

与式(3)相比,式(12)多一个幅度加权项但仍包含f(t)的全部信息,经过理想低通滤波器仍能恢复原始信号f(t),只是幅度有所变化。于是,在物理实现f(n)的基础上,使之通过一个数模转换器(DAC),便可构造一个如式(11)所表示的抽样函数fs(t)。再通过低通滤波器滤除高频分量便可得到余弦波形,即实现了DDS。


4 结束语
从上述分析可以看出,使用DDS方法设计的具有硬件简单、输出频率稳定度高等特点。随着半导体技术的发展,基于上述DDS理论的软件频率合成会越来越多的出现在现代接收设备的设计中。


上一页 1 2 3 下一页

关键词:频率合成器

评论


相关推荐

技术专区

关闭