新闻中心

EEPW首页>EDA/PCB>设计应用> 基于FPGA的交通信号灯控制系统

基于FPGA的交通信号灯控制系统

作者: 时间:2012-07-27 来源:网络 收藏


5 结果仿真
通过QuartusⅡ软件将各模块连接,进行编译、仿真,各模块可正常工作。分配引脚后,将配置文件下载至KX_7C5TP型开发板,系统运行正常,验证了整个设计的正确性,仿真结果如图4,图5所示。

本文引用地址://m.amcfsurvey.com/article/190117.htm

b.JPG



6 结语
从上述设计可以看出,利用进行的设计,使得设计者的工作仅限于利用软件的方式,即利用硬件描述语言和EDA软件来完成对系统硬件功能的设计,这不仅提高了设计的灵活性,也便于设计者对信号灯的定周控制时间进行修改。

fpga相关文章:fpga是什么



上一页 1 2 3 4 下一页

评论


相关推荐

技术专区

关闭