新闻中心

EEPW首页>EDA/PCB>设计应用> 基于FPGA的二值图像连通域快速标记

基于FPGA的二值图像连通域快速标记

作者: 时间:2011-05-23 来源:网络 收藏

f.jpg



3实验结果
为了能够仿真该算法的硬件可实现性和正确性,利用Matlab 7.1和ModelSim 6.5a进行混合仿真。通过利用Simulink中Link for ModelSim模块建立Matlab和ModelSim混合仿真的VHDL协同仿真模型,如图7所示。

c.jpg


通过Matlab读入1幅128×128的,经VHDL Cosimulation处理后,存到Matlab的工作窗口。然后,通过Matlab把图像数据还原成图像矩阵显示出来,仿真结果如图8所示。采用XIUNX的ML506开发板对本文的算法进行了验证,在33 MHz工作时钟下,单片能完成1 000 f/s的128×128的。实验结果表明本文提出的适于实现的连通域快速算法能满足实时性要求。

b.jpg



4 结语
图像连通域是目标跟踪与识别图像处理系统中的重要环节。由于图像的数据运算量大,利用软件来实现难以满足系统的实时性。本文介绍的适于FPGA实现的连通域快速标记算法能够对二值图像以自然数顺序对图像连通区域进行快速标记。软件仿真和硬件实现结果表明,本文介绍的连通域快速标记算法能够对存在复杂连通关系的二值图像进行正确标记。该设计只采用单片FPGA实现,电路结构简单,大大节约了硬件资源,体积小,易于实现。对于较大的图像的连通域快速标记,只需在FPGA外接存储器就能够实现。


上一页 1 2 3 下一页

评论


相关推荐

技术专区

关闭