新闻中心

EEPW首页>EDA/PCB>设计应用> 基于FPGA的卷积编译码器的设计与实现

基于FPGA的卷积编译码器的设计与实现

作者: 时间:2010-11-22 来源:网络 收藏

描述码的方法主要有两类:图解表示和解析表示。上文提到的生成多项式G=(111,101)即是解析表示。码的图解表示又可分为树状图、网格图和状态图3种。下面介绍常用的树状图表示(网格图表示将在译码部分介绍)。在图2所示的编码树状图中,假设移位寄存器的起始状态全为0,当第1个输入比特为O时,输出比特为00;若输入比特为1时,则输出比特为11。随着第2个比特输入,第1个比特右移1位,此时输出比特同时受当前输入比特和第1个输入比特的影响。第3个比特输入时,第1、2比特分别右移1位,同时输出2个由这3位移位寄存器存储内容所共同决定的比特。当第4个比特输入时,第1个比特移出移位寄存器而消失。移位过程可能产生的各种序列如图3中的二叉树。

本文引用地址://m.amcfsurvey.com/article/191469.htm

c.JPG



2 Velerbi(维特比)译码器原理
卷积码的译码方式有3种:Veterbi译码、门限译码和序列译码。其中维特比译码具有最佳译码性能,但硬件实现相对复杂。veterbi算法是检测离散马儿可夫过程有限状态序列的优化算法。在数字通信系统中,前向纠错卷积码编码和维特比译码用来提高系统性能,应用广泛。
维特比算法是一种最大似然译码算法。它不是在网格图上一次比较所有可能的2条完整路径,而是接收一段,计算比较一段,选择一段最有可能的码段,从而达到整个码序列是一个有最大似然函数的序列。其基本原理是:以断续的接收码流为基础,逐个计算它与其他所有可能出现的连续的格状图路径的距离,选出其中概率最大的一条作为译码输出。
维特比(Veterbi)译码算法是基于卷积码的网格图表示中路径的计算,其核心思想就是通过计算路径矢量进而寻找最短路径从而最终得到译码序列并可以纠正传输过程中的错误码字。图4中给出(2,1,3)卷积码的网格图表示。

d.JPG


图4中的网格图中共有2k(N-1)种状态,每个状态(节点)有2k条支路进入,同时也有2k条支路引出。由于本文讨论的是(2,1,3)卷积码的情况,因此k=1,假设起始状态为全0。
在不同时刻对于同一节点的所有8个状态,分别计算以其为终点的2条分支路径的对数似然函数累加值并进行比较,舍弃其中对数似然函数累加值小的路径,保留对数似然函数累加值较大的路径,并将此路径称为剩余路径。由此可见,上述过程可以归纳为“加-比-选”算法,经过“加-比-选”电路以后,通过结束信息来确定最终得到的译码序列,其中每到来一个结束信息时,只将与已知发送信息相符的那条支路保留,以此类推,经过N-1个结束信息后,即可得到与发送序列最相似的译码路径。

3 译码器设计与实现
维特比译码器包括4个子模块,如图5所示。

e.JPG
1)控制单元 向各个功能模块提供控制信号,保证译码器的工作时序正确,协调各个功能模块从而促使整个译码器的正常工作。
2)路径度量和“加-比-选单元”计算和比较每条支路的路径度量,得到并保存剩余路径提供给回溯单元。对于(2,1,3)卷积码,译码深度D=5(m+1)=20,为保证存储单元和回溯单元同时并行工作,存储单元为2D(m+1)2m=1280 bit。
3)回溯单元 从前面“加-比-选”电路送来的剩余路径中选择量度最小的剩余路径,从这条路径对应的状态开始向前寻找,直到找完前面所有状态,并从存储单元中读出译码信息送给译码控制单元。
4)译码控制单元 将回溯单元送来的译码序列反转顺序输出即为所要输出的正确的接收序列。其中反转顺序的操作可由RAM实现,顺序写入倒序读出。



评论


相关推荐

技术专区

关闭