新闻中心

EEPW首页>EDA/PCB>设计应用> 基于FPGA“乒乓球比赛游戏机”的设计

基于FPGA“乒乓球比赛游戏机”的设计

作者: 时间:2009-12-18 来源:网络 收藏

3 顶层模块仿真测试
由于篇幅限制,本系统中的分频器、译码器等常用模块的设计就不再此赘述,最终顶层原理图设计如图6所示,仿真波形如图7所示,分析波形可知,图中开始比赛信号产生后,首次发球权方为乙方,甲方发球造成犯规音响电路鸣响,即speaker信号为高电平,然后乙方发球,乒乓球依次移位,甲方接球成功后乙方未接球成功,甲方得分,cnta信号为“0110000”,注意,此处输出为驱动数码管输出信号,代表数字“1”。通过仿真可知,该系统设计满足游戏机比赛规则要求。

4 结语
采用VHDL语言编程,基于成功设计了一款乒乓球比赛游戏机,通过仿真验证可知,结果满足设计需求,系统具有发球权控制、自动计分、犯规提示等多种功能,能有效模拟实际乒乓球比赛。该系统进一步改进思路为:改用人体感应传感器来采集击球信号,采用产生视频信号传送到电视机或监视器,更直观地展示乒乓球运动轨迹,从而真正实现人机互动,优化虚拟效果。


上一页 1 2 3 4 下一页

关键词:FPGA

评论


相关推荐

技术专区

关闭