新闻中心

EEPW首页>EDA/PCB>设计应用> 基于FPGA玻璃缺陷图像采集处理系统

基于FPGA玻璃缺陷图像采集处理系统

作者: 时间:2009-11-11 来源:网络 收藏

2.2 图像低级处理的实现
图像中,底层的图像预处理(滤波、锐化)的数据量很大,要求运算速度很快,但运算结构相对比较简单,适应于通过硬件实现。而在数字信号处理中,卷积器经常被用于图像滤波、边缘检测、图像锐化,在检测系统中,对采集的图像进行滤波去噪处理,以降低后续处理运算的压力。设对于两个长度分别为m和n的序列f(i)和g(j),于是可以给出一个长度为N=m+n-1输出序列:


设f(i)为模板序列{ω1,ω2,…,ωk},g(j)为输入序列{x1,x2,…,xn},模板匹配后的结果序列为{y1,y2,…,yn-k+1},则:


上式显然与常规意义下的卷积有所不同,但只要将{ω1,ω2,…,ωk}从左到右按镜像排列预置于模块中即可。可以看出,每个输入点xi都要与模块中的所有元素进行累加和相乘。
VHDL程序顶层文件代码如下:



评论


相关推荐

技术专区

关闭