新闻中心

EEPW首页>嵌入式系统>设计应用> 基于VHDL的多功能可变模计数器设计方案

基于VHDL的多功能可变模计数器设计方案

作者: 时间:2009-09-30 来源:网络 收藏

  从上述的代码可以看出,基本的的功能单一。仿真验证则表明在进行模值变换时,基本的存在一些功能上的缺陷:计数器若是由较小的模值变化为较大的模值时,能正常的进行变模计数;但当其由较大的模值变化为较小的模值,则可能出现计数失控,如图2所示,图中显示了当模值由12变换为7时,即发生了计数失控。失控的原因是大于当模值由12变换为7时,计数输出为q为11,大于当前模值7的计数最大值6,由此产生了计数失控。

基本可变模计数器的功能仿真波形

2 改进的多功能

  为了克服上述基本可变模计数器的缺陷,并增加更多的控制功能,在此设计了一种改进的多功能可变模计数器,具有清零、置数、使能控制、可逆计数和可变模功能。其电路符号如图3所示,clk为时钟脉冲输入端,m为模值输入端,clr为清零控制端,s为置数控制端,d为置数输入端,en为使能控制端,updn为计数方向控制端,q为计数输出端,co为进位输出端。

电路符号

  这里所设计的多功能可变模计数器的代码如下所示:

程序

程序

LIBRARY IEEE;

尘埃粒子计数器相关文章:尘埃粒子计数器原理


评论


相关推荐

技术专区

关闭