新闻中心

EEPW首页>嵌入式系统>设计应用> 步进电机控制系统的设计方案

步进电机控制系统的设计方案

作者: 时间:2014-01-13 来源:网络 收藏


如果在控制电路中严格按照电流分配系数来控制各个通电状态,则能够保证细分后的每一小步的相等。因此本文采用按等步距角的细分方式。

2细分控制硬件的实现


为了实现的等步距角细分,本文采用脉冲宽度调制(PWM)的方式来实现。PWM 就是对逆变电路开关器件的通断进行控制,使输出端得到一系列幅值相等的脉冲。这些脉冲综合在一起即可形成等效的正弦波、方波等预期的波形。而等效输出波形的质量与脉冲的步距有关,即同一时刻输出的PWM路数越多,则脉冲密度越高,则输出等效波形的质量就越好。而传统的多采用单片机作为微处理器,而单片机是单线程的微处理器,同一时刻只能执行一条命令,也即是同一时刻只能产生一路PWM信号,因此输出波形质量较差,从而导致步进电机的偏低。而FPGA的运算速度远远高于单片机的运算速度,且通过模块化设计可以使其处于多线程工作模式,即可以同时产生多路PWM信号,提高了输出等效波形的质量。本文中选取Altera公司2004年推出了新款Cyclone Ⅱ系列FPGA器件作为开发平台,同时输出8路PWM信号,控制实现四相步进电机的16细分。同时利用串口模块与上位机相连以实现人机交互。系统原理图如图4所示。


中采用总线控制方式,利用片选信号依次控制4路PWM锁存器的通断,这样可以简化硬件电路和软件设计。以A相控制为例,当片选A为高电平而其他几路片选为低时,A 路PWM 锁存器工作而其他几路PWM锁存器休眠。根据公式(8)计算出细分的电流分配系数,进而转化成控制PWM信号的占空比,同时开通几路锁存器,通过锁存器输出驱动步进电机。


3 步进电机细分控制软件的设计


本设计中采用Quartus Ⅱ软件开发平台和Verilog设计语言进行控制软件的设计。系统中需要在FPGA 内利用线性反馈移位寄存器(Linear Feedback Shift Regis-ters)来实现随机数的产生,控制步进电机的随机取样转动,本系统中最核心的PWM控制模块设计如下:

4 系统测试


系统设计完成后,对整个系统进行测试和检验。


PWM的仿真结果如图5 所示,观察仿真输出波形可知控制脉冲输出正确。将程序固化到FPGA 硬件中之后,将被控的四相反应式步进电机连接上,并通过串口将FPGA与上位机相连,由上位机输出命令控制步进电机的转速、转向、转动角度等。


5 结语


本文提出了一种基于FPGA的步进电机控制系统的设计方案。该方案利用FPGA控制速度快、可靠性强等特点,利用等步距细分原理和PWM控制技术,设计出了高灵活性、可人机交互、分辨率高的步进电机控制系统。验证结果表明,该控制系统实现了步进电机等步距角的16级细分,并通过人机交互实现了任意改变各相顺序的主要技术指标,高,可靠性强。从而证实了该方案的可行性。

陀螺仪相关文章:陀螺仪原理



上一页 1 2 3 下一页

评论


相关推荐

技术专区

关闭