新闻中心

EEPW首页>嵌入式系统>设计应用> 基于ARM+FPGA的高速数据采集智能控制器设计

基于ARM+FPGA的高速数据采集智能控制器设计

作者: 时间:2014-07-11 来源:网络 收藏

由上图可知主要是配置静态存储控制器SMC_SETUP、SMC_PULSE、SMC_CYCLE、SMC_MODE四个参数。配置是通过函数static inline void at91_sys write(unsigned intreg_effset,unsigned long value)实现,详细配置如下:

本文引用地址://m.amcfsurvey.com/article/249568.htm

at91_sys_write(AT91_SMC_SETUP(5),AT91_SMC_NWESETUP(0x02)|AT91_SMC_NCS_WRSETUP_(0x00)|AT91_SMC_NRDSETUP_(0x00)|AT91_SMC

_NCS_RDSETUP_(0X00));

at91_sys_write(AT91_SMC_PULSE(5),AT91_SMC_NWEPULSE_(0x06)|AT91_SMC_NCS_WRPULSE(0x0A)|AT91_SMC_NRDPULSE(0x0A)|AT91_SMC_ NCS_RDPULSE_(0x0A));

at91_sys_write(AT91_SMC_CYCLE(5),AT91_SMC_NWECYCLE_(0X0A)|AT91_SMC_NRDCYCLE_(0X0A));

at91_sys_write(AT91_SMC_MODE(5),AT91_SMC_READMODE|AT91_SMC_WRITEMODE|AT91_SMC_EXNWMODE_DISABLE|AT91_SMC_DBW_16|AT91_ SMC_TDF_(1));

申请I/O内存:

request_mem_region((unsigned long)sram_buf_1,SRAM_BUFSIZE,“fpga_arm”);

request_mem_region((unsigned long)sram_buf_2,SRAM_BUFSIZE,“fpga_arm”);

寄存器地址映射:

sram_buf_1=(unsigned char*)ioremap(0x60000000,SRAM_BUFSIZE);

sram_buf_2=(unsigned char*)ioremap(0x60004000,SRAM_BUFSIZE);

以上为字符设备驱动程序初始化最为关键的配置。剩下的是在file operation结构下编写驱动函数,结构中的每个成员除了owner必须指向驱动中的函数。其中最为重要的内核态函数是staticssize_t fpga_arm_read(struct file*filp,char_user*buf,size_tsize,loff_ t*ppos),它对应应用层的函数read。驱动程序从读取数据使用readw函数,完成从内存映射的I/O空间读取16位数据。copy_to_user(buf, (void*)(read_mem),size)复制数据从内核空间到用户空间。FPGA字符设备驱动程序基本实现了控制和管理FPGA读取状态信息和两路ADC采集的数据。

3.2 协处理器FPGA控制程序

协处理器FPGA控制程序分为三个部分:控制ADC模块、控制读写SRAM模块和FPGA与总线通信模块。其中FPGA与总线通信模块位于顶层模块,控制ADC模块、控制读写SRAM模块的是底层模块。顶层模块主要是例化底层模块,然后进行数字信号综合处理。

控制ADC模块,控制并行ADC比较简单,正常工作需要时钟驱动ADC CLK,在编写ADC采样程序时最为关键的是注意在ADC CLK上升沿读取采集的数据才是稳定可靠的。FPGA会对两路ADC采集的数据进行多点求平均的预处理,主要是滤除50Hz的工频干扰,然后写入SRAM存储。

控制读写SRAM模块,FPGA写SRAM与读SRAM操作是非常相似的,SRAM型号是CY7C1021DV33,工作时片选信号CE要拉低。读操作时注意OE信号先拉低再拉高,在其上升沿,读取数据。写操作时注意WE信号先拉低再拉高,在其上升沿,写入数据。FPGA读SRAM时需要关注sram_cs(片选)、sram_oe(读控制)、sram_addr(地址线)和sram1_data(16bit数据线),将sram_cs、sram_oe同时拉低,同时给地址线sram_addr赋值,一个时钟后数据端口sram_data的数据就有效了。

FPGA与通信模块较为复杂,首先,是ARM向FPGA发控制命令。ARM写FPGA内部寄存器时比较重要的信号有:NCS4(片选)、at9260_wr(写信号)、at9260_addr(地址线)、at9260_data(数据线),当NCS4=0&&at9260_wr=0时,意味着ARM9260正在写FPGA寄存器,具体写哪个寄存器由地址信号at9260_addr决定,这样可以将at9260_data写入到相应地址处对应的寄存器。

其次,ARM读FPGA内部状态寄存器,与ARM写FPGA寄存器是非常类似的,当NCS4=0 &&at9260_rd=0时,意味着ARM9260正在读FPGA寄存器,这时我们将寄存器的值赋给at9260_data即可,at9260_data是双向IO,在不读时需要置为高阻态。

最后,ARM获取两片SRAM存储的预处理后的数据,ARM的控制信号是NCS5、at9260_rd、at9260_addr、A14,FPGA将这些信号转换为FPGA读SRAM的信号,然后将FPGA读到的数据传给ARM,这里FPGA起到数据中转的作用。

3.3 主处理器ARM应用程序

主处理器ARM的应用程序是整个软件系统的控制核心。应用程序由两个线程构成:main主线程和data_recv线程。main主线程主要是创建线程,向FPGA发送控制命令;data_recv线程接收FPGA状态信息和FPGA预处理后ADC采集的数据。应用程序是通过文件描述符fd_fpga来控制和管理协处理器FPGA设备。因为在主处理器ARM移植了操作系统Linux,内核版本为Kernel 2.6.30,所以应用程序的开发是在Linux操作系统Ubuntul2.04 LTS版本下,使用开发软件是Eclipse C/C++。设置Eclipse使用交叉编译器arm-none-linux-gnueabi-gcc和Release配置生成二进制可执行文件。然后可以通过Tftp服务下载到目标板上。

4 结束语

本文论述了基于ARM+FPGA体系架构的高速实时数据采集智能控制器的设计与实现。其结合ARM和FPGA两者的优势,具有更好的运算处理性能,丰富的外围标准接口。系统采样率达10MSPS,满足高速实时性的要求。具有很高的应用价值。

linux操作系统文章专题:linux操作系统详解(linux不再难懂)

fpga相关文章:fpga是什么


linux相关文章:linux教程


锁相环相关文章:锁相环原理

上一页 1 2 下一页

关键词:ARMFPGA数据采集

评论


相关推荐

技术专区

关闭