新闻中心

EEPW首页>汽车电子>设计应用> 一种基于FPGA的智能小车设计方案

一种基于FPGA的智能小车设计方案

作者: 时间:2014-07-15 来源:网络 收藏

  超声波发射电路如图7所示。

本文引用地址://m.amcfsurvey.com/article/249700.htm

  3.3 电机驱动电路

  电机驱动模块采用专用芯片L298N作为电机驱动芯片,L298N是一个具有高电压大电流的全桥驱动芯片,其响应频率高,一片L298N可以分别控制两个直流电机。表1为L298N功能表。L298N的5、7、10、12四个引脚接到上,通过对的编程就可实现两个直流电机的PWM调速控制,其驱动电路的设计如图8所示。

  4 系统软件设计

  本系统采用PWM来调节直流电机的速度,通过VHDL语言编程实现的逻辑门控制。小车进入循迹模式后就开始不停地扫描与红外探测器连接的单片I/O口,一旦检测到某个I/O口有信号变化,就执行相应的判断程序,把相应的信号发送给电动机从而纠正小车的运行状态。小车循迹避障流程图分别如图9、图10所示。

  5 系统测试

  为了测试系统的正常运行情况,设计场景对循迹小车系统进行测试。测试路线是用黑色的电工胶布来铺设,铺设在浅色地板上,该轨道为S型,在起点处以及各个目的地的终点处,有一条贯穿轨道的黑色横线,以此来指明停车点,S型轨道结束后,地面的任意摆上几个障碍物,走完障碍物路面到达指定地点停车。

  通过软硬件调试,在Quartus II软件上得到的仿真波形如图11所示。

  6 结论

  文中设计的,采用红外传感器TRTC5000为循迹模块、FPCA为主要控芯片。小车使用单元模块化的电路设计,使得系统简洁,响应快、性能稳定,经测试小车实现了避障循迹功能。

fpga相关文章:fpga是什么


手机电池相关文章:手机电池修复


pwm相关文章:pwm是什么


负离子发生器相关文章:负离子发生器原理
透射电镜相关文章:透射电镜原理

上一页 1 2 下一页

评论


相关推荐

技术专区

关闭