新闻中心

EEPW首页>测试测量>设计应用> 低频数字相位(频率)测量的CPLD实现

低频数字相位(频率)测量的CPLD实现

作者:陈明杰 时间:2008-05-30 来源:微计算机信息 收藏

 4 结束语

本文引用地址://m.amcfsurvey.com/article/83378.htm

  由晶振产生的6M信号,经过一个与非门整形为矩形脉冲,再经过7128的内部设计的分频器分频(分频系数为1000、2000、3000、4000),由该系统进行测频测相。AB二相的信号加上一个反相器,则从理论上讲,相位相差180度。实际测量结果为,频率分别是6000、3000、2000、1500Hz,相位为180度,与理论完全符合。利用DDS数字移相信号发生器产生不同频率和相位差的信号实测证实,该系统指标符合设计要求。

  随着(电子设计自动化)技术和微电子技术的进步,的时钟延迟可达到 级,结合其并行工作方式,在超高速、实时测控方面有非常广阔的应用前景;并且&FPGA具有高集成度、高可靠性,几乎可将整个设计系统下载于同一芯片中,实现所谓片上系统(SOPC),从而大大缩小其体积,具有可编程型和实现方案容易改动的特点,有利于产品的研制和后期升级[7]。

  CPLD7128大约有128个触发器,程序中AB两相计数器共用了19+19=38个,控制部分用了4个,还剩下了大约128-42=86个(其他模块还有少量的占用)。 CPLD7128的计数频率最高可175.4MHz,若提高标频信号的频率为175 MHz,同时增加计数器的长度,则测相精度从理论上讲可以达到0.04度。

  采用CPLD配合的设计方案,具有造价较低、速度高、精度高的优点,并且可以通过软件下载而达到仪器硬件升级的目的。

  参考文献:

  [1] 李宝营,赵永生,祖龙起等.基于的等精度频率计设计[J]. 微计算机信息, 2007,9(2):P152~154

  [2]宋万杰,罗丰,吴顺军.CPLD技术及其应用[M].西安:西安电子科技大学出版社,1999

  [3]潘松,黄继业,王国栋.现代DSP技术[M].西安:西安电子科技大学出版社,2003

  [4]黄正瑾.CPLD系统设计技术入门与应用[M].北京:电子工业出版社,2002

  [5] 包明.基于FPGA的高速高精度频率测量的研究[J].单片机及嵌入式系统应用,2003,(2):134~139

  [6] 张振荣,晋明武,王毅平.MCS-51单片机原理及实用技术[M].北京:人民邮电出版社,2000

  [7]潘松,王国栋.VHDL实用教程[M].成都:电子科技大学出版社,2000

分频器相关文章:分频器原理

上一页 1 2 下一页

评论


相关推荐

技术专区

关闭