新闻中心

EEPW首页>嵌入式系统>设计应用> Xilinx FPGA开发环境的配置

Xilinx FPGA开发环境的配置

作者:风子心 时间:2008-06-05 来源:电子开发网 收藏

③、在弹出的窗口“Initial Timing and Clock Wizard-Initialize Timing”中选择默认的

本文引用地址://m.amcfsurvey.com/article/83735.htm

④、当出现如下的界面后,你就可以进行时序了^_^

⑤、在Sources选择Behavioral Simulation,在Processes的Modelsim Simulator中双击下面的任何一个都可以进行。区别就是一个在ISE中进行,一个则在ModelSim界面中。

⑥、下图是双击“Ge nerate Expected Simulation Results”的效果


⑦、下图是双击“Simulate Behavioral Model”的效果



⑧、接下来大家就可以写代码,然后验证了,^_^


上一页 1 2 下一页

评论


相关推荐

技术专区

关闭