首页>> 基于MultiSIM的VHDL建模与仿真
基于MultiSIM的VHDL建模与仿真
来源:Mouser  时间:2014-12-30

摘要:主要分析了QuartusⅡ的特点和虚拟仿真软件的优越性,以交通灯控制系统为例,介绍了在虚拟仿真软件Multisim平台上使用VHDL硬件描述语言进行程序编写、电路建模和仿真的方法。仿真实验证明了该方法的有效性。

关键词:Multisim;VHDL;电路仿真;交通灯

0 引言

随着电子技术的不断发展与进步,电子系统的设计方法发生了很大的变化,基于EDA技术的设计方法正在成为电子系统设计的主流,利用EDA语言编程技术已成为许多高校电类专业学生必须掌握的一门重要技术。NI公司推出的Multisim电子电路仿真软件有丰富元件库、元件品种齐全、具有强大的仿真分析能力,模拟仿真效果接近实际等优点。Multisim具有VHDL仿真功能,除了可以直接对VHDL程序编译波形仿真外,还可以进行VHDL电路模块的设计仿真。随着计算机软件技术的快速发展,电路仿真软 件的种类和功能越来越强大,使用VHDL硬件描述语言是当前电子电路设计非常重要的一部分。但是当前使用的仿真软件只能进行波形仿真,如果没有相应的硬件 设备仿真效果不明显。Multisim具有VHDL仿真功能,除了可以直接对VHDL程序编译波形仿真外,还可以与其他电路元件例如开关、LED、七段数 码管显示、模拟示波器等一起构成电路进行仿真,可解决QuartusⅡ软件只能实现波形仿真实验效果不明显的问题。本文主要介绍了利用Multisim进 行交通灯的VHDL程序编写、电路建模和仿真的过程。

1 基于Multisim的VHDL建模

一 个完整的、典型的VHDL设计流程既是自顶向下设计方法的具体实施途径,也是EDA工具软件本身的组成结构。图1是基于EDA软件的FPGA/CPLD开 发流程框图。对于目前流行的EDA工具软件,图1的设计流程具有一般性。下面以交通灯为例说明基于Multisim的VHDL建模实现。

1.1 设计要求

设计要求:在Multisim中利用VHDL语言,设计一个简单交通灯控制系统,要求东西方向绿灯亮,南北方向红灯亮,时间8 s。东西方向与南北方向黄灯亮,时间2 s。南北方向绿灯亮,东西方向红灯亮,时间8 s。如果发生紧急事件,可以手动控制四个方向红灯全亮。

1.2 基于Multisire的VHDL建模

(1)Multisim中的VHDL语言编辑

由于Multisim软件不能直接使用VHDL模块,需要同时安装Multisim 2001软件。

①用Multisim中的VHDL-SIMULATION模块完成VHDL语言的编辑,命名为“traffic.acc”。

②编译链接编辑好的VHDL语言,除了检查VHDL语言错误外,编译链接会产生一个“*.vx”文件,只有这个文件才能在制作元件封装时调用,见图2。

基于Multisim的VHDL建模与仿真

(2)Multisim中元件制作封装

Multisim使用模块化的仿真方法,VHDL语言不能直接在Multisim软件中仿真。在Multisim中使用COMPONENT WIZARD命令进行交通灯的元件封装制作。

①点击TOOL中的COMPONENT WIZARD命令,输入元件名字和元件类型,还可以输入作者名字。

②输入元件引脚数和元件引脚参数设计。包括引脚名称,该名称必须和VHDL语言中的名字相同;引脚类型,输入或输出等类型;元件外形的制作。

③仿真模型选择。输入模型名字,添加生成的VHDL语言文件“*.vx”。

④制作封装好的交通灯元件如图3所示。

基于Multisim的VHDL建模与仿真

2 基于Multisim的VHDL仿真

设计中的CLK信号采用Multisim元件库提供的时钟元件。交通信号灯采用Multisim元件库提供的traffic_light元件。

电路如图4所示。

由于交通灯控制元件U7的输出高电平有效,而元件库提供的traffic_light元件是低电平有效,在电路中设计子电路模块XI实现高低电平的转换。

基于Multisim的VHDL建模与仿真

从图4和图5的仿真可知,基于Multisim的VHDL电路能够实现设计的要求,并且利用这种方法电路设计模块化,既可以有传统的波形仿真也可以有直观 的实物验证效果。对于复杂的电路可以将其划分为小的子模块在Multisim中进行VHDL设计,然后将子模块进行连接达到电路设计的要求。

3 结语

本文通过将Multisim与VHDL相结合,实现了简易交通灯控制系统的电路设计,通过仿真验证了这种方法的有效性。这种方法还可以解决EDA教学过程中没有FPGA开发板或者实验设备缺乏的情况下如何利用虚拟仿真软件仿真。