论坛» 综合技术» 物联网技术

三态门讨论 ?

菜鸟
2007-10-17 07:30 1楼


process(clk,wren)
begin
IF wren='0' THEN state<=st0;wen<='1';dout<=“ZZZZZZZZ”;
elsif rising_edge(clk)then
case state is
when st0=> state<=st1;
when st1=> state<=st2; addrout<=addrl; dout<=data;
when st2=> state<=st3; wen<='0';
when st3=> state<=st4;
when st4=> state<=st5;
when st5=> state<=st6; wen<='1';
when st6=> state<=st7;
when st7=> state<=st7;
when others => state<=st0;
end case;
end if;
end process;

该进程中这样引入三态门是不正确的,但小弟实在不知道在这个进程中该怎样引入三态?

助工
2007-11-16 14:44 2楼

你这样写好像优先级顺序不对,应该是elsif 中赋‘zzzzzzzz'
这样才能在没操作是赋高阻

工程师
2007-11-21 01:03 3楼
三态:高、低、高阻。
没太明白楼主的意思,能说的明白点吗?
共3条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册]