论坛» 嵌入式开发» FPGA

CORDIC计算三角函数(附verilog代码)

高工
2009-10-06 14:04 1楼

CORDIC基本方程可以表示为:
xi +1 = xi - yidi2-i
yi +1 = yi - xidi2-i
zi +1 = yi - ditan-i(2-i)
其中di = -1 if zi < 0, else +1.
如果用来计算三角函数可以利用初始条件:
x0 = 1 / An (用来抵消迭代过程产生的增益)
y0 = 0
得到
xn = cos z0
yn = sin z0
附件中的代码一个是迭代方法实现,另一个是流水线方法实现。均采用参数化设计,便于修改。说明文档和程序流图也附在附件中。

CORDIC算法,我曾经也比较仔细地研究过。如果哪些朋友对CORDIC有疑惑,可以在EEPW上和我互相讨论、共同学习。

CORDIC.rar

菜鸟
2010-02-26 10:00 2楼
怎么下载不了?
高工
2010-02-26 13:31 3楼
你还只是普通会员,需要完善注册资料,成为高级会员后,才能下载EEPW论坛的附件。
菜鸟
2010-06-21 23:05 4楼
asdcvasdvasdv
菜鸟
2010-06-22 13:27 5楼
xie xie le
菜鸟
2010-06-23 16:10 6楼
怎么下载不了?
菜鸟
2011-06-30 18:42 7楼

请问楼主这个verilog代码是计算sin函数值的吗?

菜鸟
2012-02-28 14:47 8楼
感谢楼主啊,好东西,还呆着testbench呢
菜鸟
2012-10-10 16:59 9楼

谢谢啊~~~

菜鸟
2012-10-20 20:04 10楼
谢谢楼主分享啦。不错的资料。
共20条 1/2 1 2 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册]