论坛» 企业专区» Xilinx

单口RAM、伪双口RAM、双口RAM与FIFO的区别

工程师
2011-09-08 12:36 1楼
FPGA设计中,常用到的数据缓存IP有FIFO和RAM,其中RAM又分单口RAM、伪双口RAM、双口RAM。
单口与双口的区别在于,单口只有一组数据线与地址线,因此读写不能同时进行。而双口有两组数据线与地址线,读写可同时进行。FIFO读写可同时进行,可以看作是双口。
双口RAM分伪双口RAM(Xilinx称为Simple two-dual RAM)与双口RAM(Xilinx称为true two-dual RAM)。伪双口RAM,一个端口只读,另一个端口只写;而双口RAM两个端口都可以读写。
FIFO也是一个端口只读,另一个端口只写。FIFO与伪双口RAM的区别在于,FIFO为先入先出,没有地址线,不能对存储单元寻址;而伪双口RAM两个端口都有地址线,可以对存储单元寻址。
异步时钟域的缓存只要是双口器件都可以完成。但FIFO不需对地址进行控制,是最方便的。
菜鸟
2011-09-23 21:35 2楼
助工
2011-11-20 13:24 3楼
正分顶顶顶
菜鸟
2011-11-28 13:13 4楼
实际使用中还是要看数据吞吐量来用的
共4条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册]