论坛» DIY与开源设计» 电子DIY

菜鸟
2012-12-01 01:22 21楼
最近课程设计都没时间玩FPGA
菜鸟
2012-12-01 01:23 22楼
最近都没时间
菜鸟
2012-12-08 13:38 23楼
原谅我!!最近好忙啊
菜鸟
2012-12-08 16:44 24楼



串口接收部分!!通过PC使用串口调试助手!!发送0~9!在led0~led4上显示验证!!!!有空再在Lcd上显示出来
菜鸟
2012-12-09 12:24 25楼



串口发送通信!!!500ms发一次1,,,拍起来好模糊啊!!
菜鸟
2012-12-09 12:26 26楼



把发送和接收的模块整合到一个模块来了,,,但是接收9以上的数字的通过LED显示不正常了 , ,
共26条 3/3 1 2 3 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册]