论坛» 企业专区» Xilinx

请教:实现500ms延时的方法

菜鸟
2013-05-19 22:34 1楼

求助,请各位大神帮助。

我在练习将一个信号延时1个时钟输出,采用的是寄存器延时一拍,如果延时8个时钟就用八个寄存器。后来就想到如果我想要延时500ms,(clk=50MHZ),那岂不是要用到25000000个寄存器?我想应该有别的方法吧?但是左思右想不知道怎么办,还请大家能帮助我一下。谢谢!我有考虑过分频,但是这样信号输出就会失真,所以有别的办法么?

高工
2013-05-20 19:28 2楼
用pll来分频,选择零相位延迟
菜鸟
2013-05-20 20:59 3楼
您好,能具体说一下嘛?我怎么不太懂?刚开始学习,好多东西不明白~谢谢
高工
2013-05-20 22:44 4楼
如果你是Altera的FPGA器件就是PLL单元,若使用的是Xilinx的FPGA器件则对应为DCM单元,这是FPGA特有是时钟资源
工程师
2013-05-23 11:23 5楼

500ms的延时有点大,加个计时器吧:50MHz时钟一直产生,但只在计时器达到500ms后产生使能信号时输出50mHz时钟。

可以达到500ms延时的目的,使用计时器的寄存器也不超过32个

工程师
2013-05-23 13:04 6楼

计数器,延时500ms

共6条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册]