论坛» DIY与开源设计» 电子DIY

助工
2013-06-17 18:08 41楼
谢谢谢谢,继续努力、继续努力,最近期末,要是更新不及时,大家多包涵,一定尽量做到精细
助工
2013-06-17 18:09 42楼
是51FPGA加的精,各位大人辛苦啦!我一定再接再厉,加倍努力,不一定快,但务求精。
菜鸟
2013-06-17 21:04 43楼
shark,太给力了,这个速度不是一般的快啊。。。。望尘莫及
助工
2013-06-17 21:09 44楼
马上考试了,提前爆发一下,过几天就得痿了,见笑见笑。
高工
2013-06-18 12:07 45楼
厉害,速度与激情啊。。。。
助工
2013-06-18 15:26 46楼
激情是有的,速度马上不行了,期末倒计时中、、、、、考完试三门实验三门课设要一周搞定,说出来就像生活在和我们开玩笑。悲惨人生、、、、、、
助工
2013-06-18 19:11 47楼
楼主为什么不上原码,给大家一个学习的机会
助工
2013-06-18 19:45 48楼
源码问题我和活动组织者交流过,活动组织者为了避免有人抄袭,有人做伸手党,使活动失去意义,希望能在活动后期,上源码。
菜鸟
2013-06-21 11:46 49楼
先考试
助工
2013-06-21 12:38 50楼
厉害,顶起~~~~~~
共96条 5/10 |‹ 3 4 5 6 7 8 ›| 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册]