论坛» 嵌入式开发» 软件与操作系统

移位寄存器:74164

助工
2015-04-13 21:21 1楼
-- TTL164 Shift Register library IEEE; use IEEE.Std_logic_1164.all; ENTITY dev164 IS PORT(a, b, nclr, clock : IN BIT; q : BUFFER BIT_VECTOR(0 TO 7)); END dev164; ARCHITECTURE version1 OF dev164 IS BEGIN PROCESS(a,b,nclr,clock) BEGIN IF nclr = '0' THEN q <= "00000000"; ELSE IF clock'EVENT AND clock = '1' THEN FOR i IN q'RANGE LOOP IF i = 0 THEN q(i) <= (a AND b); ELSE q(i) <= q(i-1); END IF; END LOOP; END IF; END IF; END PROCESS; END version1;
共1条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册]