论坛» 嵌入式开发» FPGA

怎样判断一个信号是电平还是脉冲?

菜鸟
2004-10-15 21:28 1楼

如果输入的信号有待确定,那么怎么判断它是高电平还是低电平,或者是脉冲?怎样用VHDL语言实现? 请各位DX帮忙指点迷津,不胜感激!

共1条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册]