这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界» 论坛首页» DIY与开源设计» 电子DIY» anmko的进程贴:综合实验-基于DS1302的万年历LCD1602显示(最终版

共223条 14/23 |‹ 12 13 14 15 16 17 ›| 跳转至
高工
2012-10-30 18:14:15 打赏
131楼
从明天开始要好好的更新进程了,懒惰了半个月了,51FPGA的教程也只是看了看,布置的作业也没完成。。。不应该这样子堕落下去了。。。。~~~~~

助工
2012-10-31 10:18:20 打赏
132楼
看看再说!

菜鸟
2012-11-04 21:49:29 打赏
133楼

看看先,谢谢


菜鸟
2012-11-04 22:20:57 打赏
134楼
想楼主学习来了。

高工
2012-11-04 23:11:42 打赏
135楼

17、温度传感器DS18B20的测温实验

温度传感器DS18B20,感觉有点像三极管,我们常常也只是实现温度传感的功能而已,如果深入研究,就会发现DS18B20 真TM的猥琐。

DS18B20内部结构图


16位的,最高位为1是负数,得取反加1

实验的流程大概是这个样子的
单线总线高电平为闲置状态。DS18B20 复位-->执行ROM 指令-->执行DS18B20 功能指令。而在单点上,可以直接跳过ROM 指令。DS18B20 的转换精度默认为12 位,而分辨率是0.0625。

DS18B20 温度读取函数参考步骤:

DS18B20 开始转换:
1.DS18B20 复位。
2.写入跳过ROM 的字节命令,0xCC。
3.写入开始转换的功能命令,0x44。
4.延迟大约750~900 毫秒

DS18B20 读暂存数据:
1.DS18B20 复位。
2.写入跳过ROM 的字节命令,0xCC。
3.写入读暂存的功能命令,0xee。
4.读入第0 个字节LS Byte,转换结果的低八位。
5.读入第1 个字节MS Byte,转换结果的高八位。
6.DS18B20 复位,表示读取暂存结束。

数据求出十进制:
1.整合LS Byte 和MS Byte 的数据
2.判断是否为正负数(可选)
3.求得十进制值。正数乘以0.0625,一位小数点乘以0.625,二位小数点乘以6.25。注意,EP2C5不支持浮点型运算的哦,记得换成整形哦~~

用热风机吹过的


室内温度,,有点冷~~~~


图片终于上传上去了·············啊~~~~~
明天上传视频和sof文件。。。。

下回讲18B20的ROM指令


菜鸟
2012-11-05 15:27:30 打赏
137楼
标记并学习,谢谢分享!

高工
2012-11-05 16:03:17 打赏
138楼
你的头像的考拉,很哈瓦伊哦~~~

助工
2012-11-05 19:39:48 打赏
139楼
楼主真是牛人!!!!

高工
2012-11-11 13:06:09 打赏
140楼
18、有关一段式二段式三段式状态机

一开始我也觉得一段式状态机简单明了(符合顺序编程的思想),简单的程序也没出什么问题,可是稍微复杂一点就有问题。我是在LCD1602的显示那块遇见的,静态显示的时候用的是一段式状态机(当时还在纳闷别人怎么用三段式的呢)这个没出什么问题;到数字时钟的LCD显示时,出问题了,只能显示一行(这个我前边也说过)。然后改进了一下,以为解决了问题,于是便暗自得意,可是到了DS18B20的LCD显示时候,这个坑爹的问题,尼玛的又出现了。然后找资料啊,和51FPGA讨论,最后探讨出一段式输出的时候有毛刺,于是把一段式换成三段式,问题解决(就是不知道以后会不会出问题,不敢再得意了)。

Verilog三段式状态机描述(转载)时序电路的状态是一个状态变量集合,这些状态变量在任意时刻的值都包含了为确定电路的未来行为而必需考虑的所有历史信息。 状态机采用VerilogHDL语言编码,建议分为三个always段完成。 三段式建模描述FSM的状态机输出时,只需指定case敏感表为次态寄存器, 然后直接在每个次态的case分支中描述该状态的输出即可,不用考虑状态转移条件。 三段式描述方法虽然代码结构复杂了一些,但是换来的优势是:使FSM做到了同步寄存器输出,消除了组合逻辑输出的不稳定与毛刺的隐患,而且更利于时序路径分组,一般来说在FPGA/CPLD等可编程逻辑器件上的综合与布局布线效果更佳。 示列如下: //第一个进程,同步时序always模块,格式化描述次态寄存器迁移到现态寄存器 always @ (posedge clk or negedge rst_n) //异步复位 if(!rst_n) current_state <= IDLE; else current_state <= next_state; //注意,使用的是非阻塞赋值 //第二个进程,组合逻辑always模块,描述状态转移条件判断 always @ (current_state) //电平触发 begin next_state = x; //要初始化,使得系统复位后能进入正确的状态 case(current_state) S1: if(...) next_state = S2; //阻塞赋值 ... endcase end //第三个进程,同步时序always模块,格式化描述次态寄存器输出 always @ (posedge clk or negedge rst_n) ...//初始化 case(next_state) S1: out1 <= 1'b1; //注意是非阻塞逻辑 S2: out2 <= 1'b1; default:... //default的作用是免除综合工具综合出锁存器 endcase end两段式有限状态机与三段式有限状态机的区别
FSM将时序部分(状态转移部分)和组合部分(判断状态转移条件和产生输出)分开,写为两个always语句,即为两段式有限状态机。   将组合部分中的判断状态转移条件和产生输入再分开写,则为三段式有限状态机。   区别:   二段式在组合逻辑特别复杂时适用,但要注意需在后面加一个触发器以消除组合逻辑对输出产生的毛刺。三段式没有这个问题,由于第三个always会生成触发器。   设计时注意方面:   1.编码原则,binary和gray-code适用于触发器资源较少,组合电路资源丰富的情况(CPLD),对于FPGA,适用one-hot code。这样不但充分利用FPGA丰富的触发器资源,还因为只需比较一个bit,速度快,组合电路简单。   2.FSM初始化问题:   GSR(Gobal Set/Reset)只是在加电时清零所有的reg和片内ram,并不保证FSM能进入初始化状态,要利用GSR,方案是适用one-hot code with zero idle,即初始状态编码为全零。已可以适用异步复位rst   3.FSM输出可以适用task   4FSM中的case最好加上default,默认态可以设为初始态   5.尤其注意:   第二段的always(组合部分,赋值用=)里面判断条件一定要包含所有情况!可以用else保证包含完全。   6第二段always中,组合逻辑电平要维持超过一个clock,仿真时注意。

总之: 二段式:状态切换用时序逻辑,次态输出和信号输出用组合逻辑。 三段式:状态切换用时序逻辑,次态输出用组合逻辑,信号输出用时序逻辑。
三段式描述方法与两段式描述相比,虽然代码结构复杂了一些,但是换来的优势是使FSM 做到了同步寄存器输出,消除了组合逻辑输出的不稳定与毛刺的隐患,而且更利于时序路径分组,一般来说在FPGA/CPLD 等可编程逻辑器件上的综合与布局布线效果更佳。


LCD1602的驱动,一段式和三段式的

一段式
always @ (posedge clk or negedge rst_n)
begin
if(!rst_n)
begin
lcd_rs <= 0;
lcd_data <= 8'hXX;
end
else if(cmd_flag)
begin
case(state)
IDLE :begin
lcd_rs <= 0; lcd_rw <= 0;
lcd_data <= 8'hxx;
state <= CLEAR;
end
CLEAR :begin
lcd_rs <= 0; lcd_rw <= 0;
lcd_data <= 8'b0000_0001;
state <= SETFUNCTION;
end
SETFUNCTION :begin
lcd_rs <= 0; lcd_rw <= 0; //3C
lcd_data <= 8'b0011_1100;
state <= SWITCHMODE;
end
SWITCHMODE :begin
lcd_rs <= 0; lcd_rw <= 0; //08
lcd_data <= 8'b0000_1000;
state <= SETMODE;
.......
一个always里即做状态转移,又做输出。。

三段式
// FSM: always1 状态转移

always @ (posedge lcd_clk, negedge rst_n)
if(!rst_n) current_state <= IDLE;
else current_state <= next_state;

// FSM: always2 组合逻辑模块,描述状态转移条件判断
always
begin
case(current_state)
IDLE : next_state = DISP_SET;
// 写指令,初始化
DISP_SET : next_state = DISP_OFF;
DISP_OFF : next_state = CLR_SCR;
CLR_SCR : next_state = CURSOR_SET1;
CURSOR_SET1 : next_state = CURSOR_SET2;
CURSOR_SET2 : next_state = ROW1_ADDR;
// 显示第一行
ROW1_ADDR : next_state = ROW1_0;
ROW1_0 : next_state = ROW1_1;

........
// FSM: always3 同步时序模块,格式化描述次态寄存器输出
always @ (posedge lcd_clk, negedge rst_n)
begin
if(!rst_n)
begin
lcd_rs <= 0;
lcd_data <= 8'hxx;
end
else
begin
//
case(next_state)
IDLE : lcd_rs <= 0;
DISP_SET : lcd_rs <= 0;
DISP_OFF : lcd_rs <= 0;
CLR_SCR : lcd_rs <= 0;
CURSOR_SET1 : lcd_rs <= 0;
CURSOR_SET2 : lcd_rs <= 0;
ROW1_ADDR : lcd_rs <= 0;
ROW1_0 : lcd_rs <= 1;
ROW1_1 : lcd_rs <= 1;
ROW1_2 : lcd_rs <= 1;
..................
// 写lcd_data
case(next_state)
IDLE : lcd_data <= 8'hxx;
// 写指令,初始化
DISP_SET : lcd_data <= 8'h38;
DISP_OFF : lcd_data <= 8'h08;
CLR_SCR : lcd_data <= 8'h01;
CURSOR_SET1 : lcd_data <= 8'h06;
CURSOR_SET2 : lcd_data <= 8'h0C;
// 写数据,显示第一行
ROW1_ADDR : lcd_data <= 8'h80;
ROW1_0 : lcd_data <= row1_val[127:120];
ROW1_1 : lcd_data <= row1_val[119:112];
ROW1_2 : lcd_data <= row1_val[111:104];
ROW1_3 : lcd_data <= row1_val[103: 96];
ROW1_4 : lcd_data <= row1_val[ 95: 88];
ROW1_5 : lcd_data <= row1_val[ 87: 80];
ROW1_6 : lcd_data <= row1_val[ 79: 72];
ROW1_7 : lcd_data <= row1_val[ 71: 64];
ROW1_8 : lcd_data <= row1_val[ 63: 56];
...............


共223条 14/23 |‹ 12 13 14 15 16 17 ›| 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册]