这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界» 论坛首页» 嵌入式开发» MCU» 从业将近十年!手把手教你单片机程序框架(连载)

共146条 15/15 |‹ 10 11 12 13 14 15 跳转至
工程师
2014-11-21 11:23:36 打赏
141楼

非常感谢吴老师的分享,想请教您几个问题。在定时去中断里,您都用了这个条件

请问,如果超出了0xffff这个条件,会对单片机的整个系统有什么影响吗,谢谢了。

还有个问题,在前面的一节课中,您说在清计数位的时候先把定时器中断关闭,现在这个程序中没有关闭,是不是因为对这个程序没有影响,但是为了可靠加上应该是可以的。不知道自己理解的是否正确。再次谢谢您的分享。


菜鸟
2014-12-17 13:45:59 打赏
142楼

第八十三节:矩阵键盘输入任意数字或小数点的液晶屏显示程序。


开场白:

本来这节打算讲调用液晶屏内部字库时让某行内容反显的,但是在昨天调试过程中,发现一个很奇怪的问题,当调用内部字库时,按照数据手册,我执行一条反显指令时,应该是仅仅某一行反显,但是却同时出现两行反显。比如,当我执行
WriteCommand(0x34); //扩充指令集
WriteCommand(0x04); //第1行反显
指令时,发现第一行和第三行反显,后来想想,我猜测这种12864的屏应该是25632折成左右半屏,左半屏在上面,右半屏在下面。经过这次经验,我觉得大家以后尽量不要用液晶屏的内部字库模式,应该用自构字库的模式(图形模式)。因为我觉得用内部字库模式的时候,这个集成的反显扩展指令不好用。而用自构字库的模式(图形模式),却可以顺心所欲的灵活运用,适合做菜单程序。

既然发现内部字库不好用,所以不再讲内部字库模式,这节仅仅接着前面第79节内容,继续讲在自构字库的模式(图形模式)下,如何通过矩阵键盘直接输入数字和小数点,就像普通的计算器一样键盘输入。这个功能表面简单,其实有以下四个地方值得注意:

第一:如何用数组接收按键输入的BCD码数据。

第二:如何限制输入参数的小数点个数和数组的有效个数。

第三:如果第0个位置是0,那么继续输入的数据直接覆盖0,否则就移位再输入。

第四:如果第0个位置是0,那么继续输入的小数点要移位输入。

要仔细了解以上提到的关键点,必须好好研究本程序中的void set_data(…)函数。同时也要温习一下之前讲的自构字库模式的液晶屏显示内容,尤其是插入画布显示的内容。


具体内容,请看源代码讲解。

(1) 硬件平台:
基于朱兆祺51单片机学习板。数字1键对应S1键,数字2键对应S2键,数字3键对应S3键…. 数字9键对应S9键, 数字0键对应S10键。小数键对应S11,清零键对应S16,其它按键不用。

(2) 实现功能:

用矩阵键盘输入任意数字或小数点。小数点不能超过2位,一旦超过2位,再按其它按键则输入无效。有效数字也不能超过6位(包括小数点),一旦超过6位,再按其它按键则输入无效。

想重新输入,必须按S16清零按键才能重新输入。


(3)源代码讲解如下:

#include "REG52.H" #define const_voice_short 40 //蜂鸣器短叫的持续时间 #define const_key_time 10 //按键去抖动延时的时间 sbit key_sr1=P0^0; //第一行输入 sbit key_sr2=P0^1; //第二行输入 sbit key_sr3=P0^2; //第三行输入 sbit key_sr4=P0^3; //第四行输入 sbit key_dr1=P0^4; //第一列输出 sbit key_dr2=P0^5; //第二列输出 sbit key_dr3=P0^6; //第三列输出 sbit key_dr4=P0^7; //第四列输出 sbit beep_dr=P2^7; //蜂鸣器的驱动IO口 sbit LCDCS_dr = P1^6; //片选线 sbit LCDSID_dr = P1^7; //串行数据线 sbit LCDCLK_dr = P3^2; //串行时钟线 sbit LCDRST_dr = P3^4; //复位线 void SendByteToLcd(unsigned char ucData); //发送一个字节数据到液晶模块 void SPIWrite(unsigned char ucWData, unsigned char ucWRS); //模拟SPI发送一个字节的命令或者数据给液晶模块的底层驱动 void WriteCommand(unsigned char ucCommand); //发送一个字节的命令给液晶模块 void LCDWriteData(unsigned char ucData); //发送一个字节的数据给液晶模块 void LCDInit(void); //初始化 函数内部包括液晶模块的复位 void display_clear(unsigned char ucFillDate); // 清屏 全部显示空填充0x00 全部显示点阵用0xff void insert_buffer_to_canvas(unsigned int x,unsigned int y,const unsigned char *ucArray,unsigned char ucFbFlag,unsigned int x_amount,unsigned int y_amount);//把字模插入画布. void display_lattice(unsigned int x,unsigned int y,const unsigned char *ucArray,unsigned char ucFbFlag,unsigned int x_amount,unsigned int y_amount,unsigned int uiOffSetAddr); //显示任意点阵函数 unsigned char *number_to_matrix(unsigned char ucBitNumber); //把一位数字转换成字模首地址的函数 void delay_short(unsigned int uiDelayshort); //延时 void delay_long(unsigned int uiDelayLong); void key_number_input(unsigned char ucKeyNumber); //输入数字按键 void set_data(unsigned char ucKeyNumberTemp,unsigned char ucDotBitMax,unsigned char ucDataCntMax,unsigned char *p_ucDotCnt,unsigned char *p_ucDotBitS,unsigned char *p_ucWdPartCnt,unsigned char *p_ucSetDataBuffer); void key_delete_input(void); //删除按键 void T0_time(); //定时中断函数 void key_service(); void key_scan(); //按键扫描函数 放在定时中断里 void initial_myself(); void initial_peripheral(); void lcd_display_service(void); //应用层面的液晶屏显示程序 void clear_all_canvas(void); //把画布全部清零 code unsigned char Zf816_0[]= { /*-- 文字: 0 --*/ /*-- 宋体12; 此字体下对应的点阵为:宽x高=8x16 --*/ 0x00,0x00,0x00,0x18,0x24,0x42,0x42,0x42,0x42,0x42,0x42,0x42,0x24,0x18,0x00,0x00, }; code unsigned char Zf816_1[]= { /*-- 文字: 1 --*/ /*-- 宋体12; 此字体下对应的点阵为:宽x高=8x16 --*/ 0x00,0x00,0x00,0x10,0x70,0x10,0x10,0x10,0x10,0x10,0x10,0x10,0x10,0x7C,0x00,0x00, }; code unsigned char Zf816_2[]= { /*-- 文字: 2 --*/ /*-- 宋体12; 此字体下对应的点阵为:宽x高=8x16 --*/ 0x00,0x00,0x00,0x3C,0x42,0x42,0x42,0x04,0x04,0x08,0x10,0x20,0x42,0x7E,0x00,0x00, }; code unsigned char Zf816_3[]= { /*-- 文字: 3 --*/ /*-- 宋体12; 此字体下对应的点阵为:宽x高=8x16 --*/ 0x00,0x00,0x00,0x3C,0x42,0x42,0x04,0x18,0x04,0x02,0x02,0x42,0x44,0x38,0x00,0x00, }; code unsigned char Zf816_4[]= { /*-- 文字: 4 --*/ /*-- 宋体12; 此字体下对应的点阵为:宽x高=8x16 --*/ 0x00,0x00,0x00,0x04,0x0C,0x14,0x24,0x24,0x44,0x44,0x7E,0x04,0x04,0x1E,0x00,0x00, }; code unsigned char Zf816_5[]= { /*-- 文字: 5 --*/ /*-- 宋体12; 此字体下对应的点阵为:宽x高=8x16 --*/ 0x00,0x00,0x00,0x7E,0x40,0x40,0x40,0x58,0x64,0x02,0x02,0x42,0x44,0x38,0x00,0x00, }; code unsigned char Zf816_6[]= { /*-- 文字: 6 --*/ /*-- 宋体12; 此字体下对应的点阵为:宽x高=8x16 --*/ 0x00,0x00,0x00,0x1C,0x24,0x40,0x40,0x58,0x64,0x42,0x42,0x42,0x24,0x18,0x00,0x00, }; code unsigned char Zf816_7[]= { /*-- 文字: 7 --*/ /*-- 宋体12; 此字体下对应的点阵为:宽x高=8x16 --*/ 0x00,0x00,0x00,0x7E,0x44,0x44,0x08,0x08,0x10,0x10,0x10,0x10,0x10,0x10,0x00,0x00, }; code unsigned char Zf816_8[]= { /*-- 文字: 8 --*/ /*-- 宋体12; 此字体下对应的点阵为:宽x高=8x16 --*/ 0x00,0x00,0x00,0x3C,0x42,0x42,0x42,0x24,0x18,0x24,0x42,0x42,0x42,0x3C,0x00,0x00, }; code unsigned char Zf816_9[]= { /*-- 文字: 9 --*/ /*-- 宋体12; 此字体下对应的点阵为:宽x高=8x16 --*/ 0x00,0x00,0x00,0x18,0x24,0x42,0x42,0x42,0x26,0x1A,0x02,0x02,0x24,0x38,0x00,0x00, }; code unsigned char Zf816_nc[]= //空字模 { 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, }; code unsigned char Zf816_dot[]= //小数点 { /*-- 文字: . --*/ /*-- 宋体12; 此字体下对应的点阵为:宽x高=8x16 --*/ 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x60,0x60,0x00,0x00, }; code unsigned char Zf816_mao_hao[]= //冒号 { /*-- 文字: : --*/ /*-- 宋体12; 此字体下对应的点阵为:宽x高=8x16 --*/ 0x00,0x00,0x00,0x00,0x00,0x00,0x18,0x18,0x00,0x00,0x00,0x00,0x18,0x18,0x00,0x00, }; code unsigned char Hz1616_yi[]= { /*-- 文字: 一 --*/ /*-- 宋体12; 此字体下对应的点阵为:宽x高=16x16 --*/ 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x04,0x7F,0xFE, 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, }; code unsigned char Hz1616_xiang[]= { /*-- 文字: 项 --*/ /*-- 宋体12; 此字体下对应的点阵为:宽x高=16x16 --*/ 0x00,0x00,0x03,0xFE,0xFC,0x20,0x10,0x40,0x11,0xFC,0x11,0x04,0x11,0x24,0x11,0x24, 0x11,0x24,0x11,0x24,0x1D,0x24,0xE1,0x34,0x00,0x48,0x01,0x86,0x06,0x02,0x00,0x00, }; code unsigned char Hz1616_shu[]= { /*-- 文字: 数 --*/ /*-- 宋体12; 此字体下对应的点阵为:宽x高=16x16 --*/ 0x08,0x20,0x49,0x30,0x2A,0x20,0x1C,0x20,0xFF,0x7E,0x1C,0x44,0x2B,0x44,0x48,0xC4, 0x08,0x28,0xFF,0x28,0x12,0x10,0x34,0x10,0x0C,0x28,0x32,0x4E,0xC0,0x84,0x00,0x00, }; code unsigned char Hz1616_zhu[]= { /*-- 文字: 组 --*/ /*-- 宋体12; 此字体下对应的点阵为:宽x高=16x16 --*/ 0x10,0x00,0x19,0xF8,0x11,0x08,0x25,0x08,0x25,0x08,0x79,0xF8,0x09,0x08,0x11,0x08, 0x21,0x08,0x7D,0xF8,0x01,0x08,0x01,0x08,0x0D,0x08,0x73,0xFE,0x00,0x00,0x00,0x00, }; /* 注释一: * 以下是画布显示数组。横向是6个字节,纵向16行,可以显示3个16x16的汉字. * 注意,这节内容的画布跟前面章节的画布大小不一样,前面章节的横向是4个字节,这节的横向是6个字节。 */ unsigned char ucCanvasBuffer[]= { 0x00,0x00,0x00,0x00,0x00,0x00, //上半屏 0x00,0x00,0x00,0x00,0x00,0x00, 0x00,0x00,0x00,0x00,0x00,0x00, 0x00,0x00,0x00,0x00,0x00,0x00, 0x00,0x00,0x00,0x00,0x00,0x00, 0x00,0x00,0x00,0x00,0x00,0x00, 0x00,0x00,0x00,0x00,0x00,0x00, 0x00,0x00,0x00,0x00,0x00,0x00, //------------上半屏和下半屏的分割线----------- 0x00,0x00,0x00,0x00,0x00,0x00, //下半屏 0x00,0x00,0x00,0x00,0x00,0x00, 0x00,0x00,0x00,0x00,0x00,0x00, 0x00,0x00,0x00,0x00,0x00,0x00, 0x00,0x00,0x00,0x00,0x00,0x00, 0x00,0x00,0x00,0x00,0x00,0x00, 0x00,0x00,0x00,0x00,0x00,0x00, 0x00,0x00,0x00,0x00,0x00,0x00, }; /* 注释二: * 以下4个变量记录一个参数的4种信息,包括小数点的数量,个数,数据的位置,数组具体值. */ unsigned char ucDotCnt_1=0; //记录当前输入的小数点数量,如果小数点的数量不为0,说明当前数组已包含小数点,此时再按小数点按键则无效 unsigned char ucDotBitS_1=0; //记录当前输入的小数点个数,如果小数点的个量如果超过规定2位,此时再按任何输入按键则无效 unsigned char ucWdPartCnt_1=0; //记录当前输入的数据在数组中的位置。 unsigned char ucDataBuffer_1[6]={0,10,10,10,10,10}; //一项的BCD码数组缓冲 unsigned char ucKeyStep=1; //按键扫描步骤变量 unsigned char ucKeySec=0; //被触发的按键编号 unsigned int uiKeyTimeCnt=0; //按键去抖动延时计数器 unsigned char ucKeyLock=0; //按键触发后自锁的变量标志 unsigned char ucRowRecord=1; //记录当前扫描到第几列了 unsigned int uiVoiceCnt=0; //蜂鸣器鸣叫的持续时间计数器 unsigned char ucWd=1; //窗口变量 unsigned char ucPart=1; //局部变量 0代表没有选中任何一行,其它数值1到4代表选中某一行 unsigned char ucWd1Update=1; //窗口1的整屏更新显示变量 1代表更新显示,响应函数内部会清零 unsigned char ucWd1Part1Update=0; //窗口1的第1行局部更新显示变量 1代表更新显示,响应函数内部会清零 void main() { initial_myself(); //第一区,上电后马上初始化 delay_long(100); //一线,延时线。延时一段时间 initial_peripheral(); //第二区,上电后延时一段时间再初始化 while(1) //第三区 { key_service(); //按键服务程序 lcd_display_service(); //应用层面的液晶屏显示程序 } } void initial_myself() //第一区 上电后马上初始化 { beep_dr=1; //用PNP三极管控制蜂鸣器,输出高电平时不叫。 TMOD=0x01; //设置定时器0为工作方式1 TH0=0xf8; //重装初始值(65535-2000)=63535=0xf82f TL0=0x2f; } void initial_peripheral() //第二区 上电后延时一段时间再初始化 { LCDInit(); //初始化12864 内部包含液晶模块的复位 EA=1; //开总中断 ET0=1; //允许定时中断 TR0=1; //启动定时中断 } void T0_time() interrupt 1 { TF0=0; //清除中断标志 TR0=0; //关中断 key_scan();//按键扫描函数 放在定时中断里 if(uiVoiceCnt!=0) { uiVoiceCnt--; //每次进入定时中断都自减1,直到等于零为止。才停止鸣叫 beep_dr=0; //蜂鸣器是PNP三极管控制,低电平就开始鸣叫。 } else { ; //此处多加一个空指令,想维持跟if括号语句的数量对称,都是两条指令。不加也可以。 beep_dr=1; //蜂鸣器是PNP三极管控制,高电平就停止鸣叫。 } TH0=0xf8; //重装初始值(65535-2000)=63535=0xf82f TL0=0x2f; TR0=1; //开中断 } void key_scan()//按键扫描函数 放在定时中断里 { switch(ucKeyStep) { case 1: //按键扫描输出第ucRowRecord列低电平 if(ucRowRecord==1) //第一列输出低电平 { key_dr1=0; key_dr2=1; key_dr3=1; key_dr4=1; } else if(ucRowRecord==2) //第二列输出低电平 { key_dr1=1; key_dr2=0; key_dr3=1; key_dr4=1; } else if(ucRowRecord==3) //第三列输出低电平 { key_dr1=1; key_dr2=1; key_dr3=0; key_dr4=1; } else //第四列输出低电平 { key_dr1=1; key_dr2=1; key_dr3=1; key_dr4=0; } uiKeyTimeCnt=0; //延时计数器清零 ucKeyStep++; //切换到下一个运行步骤 break; case 2: //此处的小延时用来等待刚才列输出信号稳定,再判断输入信号。不是去抖动延时。 uiKeyTimeCnt++; if(uiKeyTimeCnt>1) { uiKeyTimeCnt=0; ucKeyStep++; //切换到下一个运行步骤 } break; case 3: if(key_sr1==1&&key_sr2==1&&key_sr3==1&&key_sr4==1) { ucKeyStep=1; //如果没有按键按下,返回到第一个运行步骤重新开始扫描 ucKeyLock=0; //按键自锁标志清零 uiKeyTimeCnt=0; //按键去抖动延时计数器清零,此行非常巧妙 ucRowRecord++; //输出下一列 if(ucRowRecord>4) { ucRowRecord=1; //依次输出完四列之后,继续从第一列开始输出低电平 } } else if(ucKeyLock==0) //有按键按下,且是第一次触发 { if(key_sr1==0&&key_sr2==1&&key_sr3==1&&key_sr4==1) { uiKeyTimeCnt++; //去抖动延时计数器 if(uiKeyTimeCnt>const_key_time) { uiKeyTimeCnt=0; ucKeyLock=1;//自锁按键置位,避免一直触发,只有松开按键,此标志位才会被清零 if(ucRowRecord==1) //第一列输出低电平 { ucKeySec=1; //触发1号键 对应朱兆祺学习板的S1键 } else if(ucRowRecord==2) //第二列输出低电平 { ucKeySec=2; //触发2号键 对应朱兆祺学习板的S2键 } else if(ucRowRecord==3) //第三列输出低电平 { ucKeySec=3; //触发3号键 对应朱兆祺学习板的S3键 } else //第四列输出低电平 { ucKeySec=4; //触发4号键 对应朱兆祺学习板的S4键 } } } else if(key_sr1==1&&key_sr2==0&&key_sr3==1&&key_sr4==1) { uiKeyTimeCnt++; //去抖动延时计数器 if(uiKeyTimeCnt>const_key_time) { uiKeyTimeCnt=0; ucKeyLock=1;//自锁按键置位,避免一直触发,只有松开按键,此标志位才会被清零 if(ucRowRecord==1) //第一列输出低电平 { ucKeySec=5; //触发5号键 对应朱兆祺学习板的S5键 } else if(ucRowRecord==2) //第二列输出低电平 { ucKeySec=6; //触发6号键 对应朱兆祺学习板的S6键 } else if(ucRowRecord==3) //第三列输出低电平 { ucKeySec=7; //触发7号键 对应朱兆祺学习板的S7键 } else //第四列输出低电平 { ucKeySec=8; //触发8号键 对应朱兆祺学习板的S8键 } } } else if(key_sr1==1&&key_sr2==1&&key_sr3==0&&key_sr4==1) { uiKeyTimeCnt++; //去抖动延时计数器 if(uiKeyTimeCnt>const_key_time) { uiKeyTimeCnt=0; ucKeyLock=1;//自锁按键置位,避免一直触发,只有松开按键,此标志位才会被清零 if(ucRowRecord==1) //第一列输出低电平 { ucKeySec=9; //触发9号键 对应朱兆祺学习板的S9键 } else if(ucRowRecord==2) //第二列输出低电平 { ucKeySec=10; //触发10号键 对应朱兆祺学习板的S10键 } else if(ucRowRecord==3) //第三列输出低电平 { ucKeySec=11; //触发11号键 对应朱兆祺学习板的S11键 } else //第四列输出低电平 { ucKeySec=12; //触发12号键 对应朱兆祺学习板的S12键 } } } else if(key_sr1==1&&key_sr2==1&&key_sr3==1&&key_sr4==0) { uiKeyTimeCnt++; //去抖动延时计数器 if(uiKeyTimeCnt>const_key_time) { uiKeyTimeCnt=0; ucKeyLock=1;//自锁按键置位,避免一直触发,只有松开按键,此标志位才会被清零 if(ucRowRecord==1) //第一列输出低电平 { ucKeySec=13; //触发13号键 对应朱兆祺学习板的S13键 } else if(ucRowRecord==2) //第二列输出低电平 { ucKeySec=14; //触发14号键 对应朱兆祺学习板的S14键 } else if(ucRowRecord==3) //第三列输出低电平 { ucKeySec=15; //触发15号键 对应朱兆祺学习板的S15键 } else //第四列输出低电平 { ucKeySec=16; //触发16号键 对应朱兆祺学习板的S16键 } } } } break; } } void key_service() //按键服务的应用程序 { switch(ucKeySec) //按键服务状态切换 { case 1:// 数字1 对应朱兆祺学习板的S1键 key_number_input(1); //输入数字按键 uiVoiceCnt=const_voice_short; //按键声音触发,滴一声就停。 ucKeySec=0; //响应按键服务处理程序后,按键编号清零,避免一致触发 break; case 2:// 数字2 对应朱兆祺学习板的S2键 key_number_input(2); //输入数字按键 uiVoiceCnt=const_voice_short; //按键声音触发,滴一声就停。 ucKeySec=0; //响应按键服务处理程序后,按键编号清零,避免一致触发 break; case 3:// 数字3 对应朱兆祺学习板的S3键 key_number_input(3); //输入数字按键 uiVoiceCnt=const_voice_short; //按键声音触发,滴一声就停。 ucKeySec=0; //响应按键服务处理程序后,按键编号清零,避免一致触发 break; case 4:// 数字4 对应朱兆祺学习板的S4键 key_number_input(4); //输入数字按键 uiVoiceCnt=const_voice_short; //按键声音触发,滴一声就停。 ucKeySec=0; //响应按键服务处理程序后,按键编号清零,避免一致触发 break; case 5:// 数字5 对应朱兆祺学习板的S5键 key_number_input(5); //输入数字按键 uiVoiceCnt=const_voice_short; //按键声音触发,滴一声就停。 ucKeySec=0; //响应按键服务处理程序后,按键编号清零,避免一致触发 break; case 6:// 数字6 对应朱兆祺学习板的S6键 key_number_input(6); //输入数字按键 uiVoiceCnt=const_voice_short; //按键声音触发,滴一声就停。 ucKeySec=0; //响应按键服务处理程序后,按键编号清零,避免一致触发 break; case 7:// 数字7 对应朱兆祺学习板的S7键 key_number_input(7); //输入数字按键 uiVoiceCnt=const_voice_short; //按键声音触发,滴一声就停。 ucKeySec=0; //响应按键服务处理程序后,按键编号清零,避免一致触发 break; case 8: //数字8 对应朱兆祺学习板的S8键 key_number_input(8); //输入数字按键 uiVoiceCnt=const_voice_short; //按键声音触发,滴一声就停。 ucKeySec=0; //响应按键服务处理程序后,按键编号清零,避免一致触发 break; case 9:// 数字9 对应朱兆祺学习板的S9键 key_number_input(9); //输入数字按键 uiVoiceCnt=const_voice_short; //按键声音触发,滴一声就停。 ucKeySec=0; //响应按键服务处理程序后,按键编号清零,避免一致触发 break; case 10:// 数字0 对应朱兆祺学习板的S10键 key_number_input(0); //输入数字按键 uiVoiceCnt=const_voice_short; //按键声音触发,滴一声就停。 ucKeySec=0; //响应按键服务处理程序后,按键编号清零,避免一致触发 break; case 11:// 小数点按键 对应朱兆祺学习板的S11键 key_number_input(11); //输入数字按键 11代表小数点 uiVoiceCnt=const_voice_short; //按键声音触发,滴一声就停。 ucKeySec=0; //响应按键服务处理程序后,按键编号清零,避免一致触发 break; case 12:// 本节暂时不用 对应朱兆祺学习板的S12键 uiVoiceCnt=const_voice_short; //按键声音触发,滴一声就停。 ucKeySec=0; //响应按键服务处理程序后,按键编号清零,避免一致触发 break; case 13:// 本节暂时不用 对应朱兆祺学习板的S13键 uiVoiceCnt=const_voice_short; //按键声音触发,滴一声就停。 ucKeySec=0; //响应按键服务处理程序后,按键编号清零,避免一致触发 break; case 14:// 本节暂时不用 对应朱兆祺学习板的S14键 uiVoiceCnt=const_voice_short; //按键声音触发,滴一声就停。 ucKeySec=0; //响应按键服务处理程序后,按键编号清零,避免一致触发 break; case 15:// 本节暂时不用 对应朱兆祺学习板的S15键 uiVoiceCnt=const_voice_short; //按键声音触发,滴一声就停。 ucKeySec=0; //响应按键服务处理程序后,按键编号清零,避免一致触发 break; case 16:// 清除按键 对应朱兆祺学习板的S16键 key_delete_input(); //删除按键 uiVoiceCnt=const_voice_short; //按键声音触发,滴一声就停。 ucKeySec=0; //响应按键服务处理程序后,按键编号清零,避免一致触发 break; } } void key_number_input(unsigned char ucKeyNumber) //输入数字按键 { switch(ucWd) { case 1: //第1窗口。本节程序只有1个窗口 switch(ucPart) { case 1: //1窗口第1项 set_data(ucKeyNumber,2,6,&ucDotCnt_1,&ucDotBitS_1,&ucWdPartCnt_1,ucDataBuffer_1); //设置参数,请看本函数具体内容。本节的核心内容,值得好好研究! ucWd1Part1Update=1;//更新显示 break; } break; } } /* 注释三: * 本节的核心函数,值得好好研究! * 涉及到参数的4种信息,包括小数点的数量,个数,数据的位置,数组具体值。以及它们之间的相互作用关系。 * 以下参数,指针类型的参数是让代入的全局变量在退出函数后维持它当前最新更改的数值不变。 * 第1个参数ucKeyNumberTemp是当前按键输入的数值。 * 第2个参数ucDotBitMax是限定被设置参数的小数点最大位数。 * 第3个参数ucDataCntMax是限定被设置参数的最大数组个数。 * 第4个参数*p_ucDotCnt是记录当前输入的小数点数量,如果小数点的数量不为0,说明当前数组已包含小数点,此时再按小数点按键则无效。 * 第5个参数*p_ucDotBitS是记录当前输入的小数点个数,如果小数点的个量如果超过规定2位,此时再按任何输入按键则无效 * 第6个参数*p_ucWdPartCnt是记录当前输入的数据在数组中的位置,方便锁定每次按键输入的数字显示位置。 * 第7个参数*p_ucSetDataBuffer是BCD码数组缓冲的具体数字内容。 */ void set_data(unsigned char ucKeyNumberTemp,unsigned char ucDotBitMax,unsigned char ucDataCntMax,unsigned char *p_ucDotCnt,unsigned char *p_ucDotBitS,unsigned char *p_ucWdPartCnt,unsigned char *p_ucSetDataBuffer) { unsigned int i; if(ucKeyNumberTemp==11) //等于小数点 { if(ucDotBitMax==0) //如果限定的小数点最大数是0,就意味着此数据不允许带小数点,必须是整数。 { return; //直接返回退出 } else if(*p_ucDotCnt>0) //小数点个数大于0,意味着当前数组已经包含了小数点,此时再输入小数点则无效。 { return; //直接返回退出 } else //否则有效,记录当前已经包含一个小数点的信息。 { *p_ucDotCnt=1; //只能包含一个小数点 } } else if(*p_ucDotCnt==1) //如果输入的不是小数点,并且之前已经输入了一个小数点,那么此时输入的数字就是小数点后的数据 { if(*p_ucDotBitS
        


总结陈词:

这节讲的是键盘输入数字或者小数点的BCD码用来显示,实际项目中,我们经常要知道所输入的BCD码数组到底有效数值是多少,这个该怎么办?欲知详情,请听下回分解----

实时同步把键盘输入的BCD码数组转换成数值的液晶屏显示程序。


(未完待续,下节更精彩,不要走开哦)


菜鸟
2014-12-19 16:35:42 打赏
143楼

第八十四节:实时同步把键盘输入的BCD码数组转换成数值的液晶屏显示程序。


开场白:

键盘直接输入的是带小数点的BCD码数组,要把它们转换成具体的数值才可以更好的在程序里运算或者处理。如何把BCD码数组实时同步转换成数值?这一节主要跟大家讲这方面的算法程序。另外,有一个地方值得注意:上一节键盘输入的小数点个数可以限制成最大2位,但是整数部分没有限制。这节为了也能限制整数部分的最大个数为3位,我修改了上一节的void set_data(…)函数。所以这节的void set_data(…)函数跟上一节的void set_data(…)函数有点不一样,需要特别注意。


具体内容,请看源代码讲解。

(1) 硬件平台:
基于朱兆祺51单片机学习板。数字1键对应S1键,数字2键对应S2键,数字3键对应S3键…. 数字9键对应S9键, 数字0键对应S10键。小数键对应S11,清零键对应S16,其它按键不用。

(2) 实现功能:

用矩阵键盘输入任意数字或小数点。小数点不能超过2位,一旦超过2位,再按其它按键则输入无效。整数部分不能超过3位,一旦超过3位,再按其它按键则输入无效。想重新输入,必须按S16清零按键才能重新输入。每次键盘输入的第一行BCD码数组会同步更新显示在第二行的数值上。


(3)源代码讲解如下:

#include "REG52.H" #define const_voice_short 40 //蜂鸣器短叫的持续时间 #define const_key_time 10 //按键去抖动延时的时间 sbit key_sr1=P0^0; //第一行输入 sbit key_sr2=P0^1; //第二行输入 sbit key_sr3=P0^2; //第三行输入 sbit key_sr4=P0^3; //第四行输入 sbit key_dr1=P0^4; //第一列输出 sbit key_dr2=P0^5; //第二列输出 sbit key_dr3=P0^6; //第三列输出 sbit key_dr4=P0^7; //第四列输出 sbit beep_dr=P2^7; //蜂鸣器的驱动IO口 sbit LCDCS_dr = P1^6; //片选线 sbit LCDSID_dr = P1^7; //串行数据线 sbit LCDCLK_dr = P3^2; //串行时钟线 sbit LCDRST_dr = P3^4; //复位线 void SendByteToLcd(unsigned char ucData); //发送一个字节数据到液晶模块 void SPIWrite(unsigned char ucWData, unsigned char ucWRS); //模拟SPI发送一个字节的命令或者数据给液晶模块的底层驱动 void WriteCommand(unsigned char ucCommand); //发送一个字节的命令给液晶模块 void LCDWriteData(unsigned char ucData); //发送一个字节的数据给液晶模块 void LCDInit(void); //初始化 函数内部包括液晶模块的复位 void display_clear(unsigned char ucFillDate); // 清屏 全部显示空填充0x00 全部显示点阵用0xff void insert_buffer_to_canvas(unsigned int x,unsigned int y,const unsigned char *ucArray,unsigned char ucFbFlag,unsigned int x_amount,unsigned int y_amount);//把字模插入画布. void display_lattice(unsigned int x,unsigned int y,const unsigned char *ucArray,unsigned char ucFbFlag,unsigned int x_amount,unsigned int y_amount,unsigned int uiOffSetAddr); //显示任意点阵函数 unsigned char *number_to_matrix(unsigned char ucBitNumber); //把一位数字转换成字模首地址的函数 void delay_short(unsigned int uiDelayshort); //延时 void delay_long(unsigned int uiDelayLong); void key_number_input(unsigned char ucKeyNumber); //输入数字按键 void set_data(unsigned char ucKeyNumberTemp, //设置参数 unsigned char ucDotBitMax, unsigned char ucDataCntMax, unsigned char *p_ucDotCnt, unsigned char *p_ucDotBitS, unsigned char *p_ucWdPartCnt, unsigned char *p_ucSetDataBuffer, unsigned char ucIntCntMax, unsigned char *p_ucIntCnt); unsigned long buffer_to_data(unsigned char ucConverDataSize,unsigned char ucConverDotCnt,unsigned char *p_ucConverBuffer); //把带小数点的BCD数组转换成long类型的数值。 void key_delete_input(void); //删除按键 void T0_time(); //定时中断函数 void key_service(); void key_scan(); //按键扫描函数 放在定时中断里 void initial_myself(); void initial_peripheral(); void lcd_display_service(void); //应用层面的液晶屏显示程序 void clear_all_canvas(void); //把画布全部清零 code unsigned char Zf816_0[]= { /*-- 文字: 0 --*/ /*-- 宋体12; 此字体下对应的点阵为:宽x高=8x16 --*/ 0x00,0x00,0x00,0x18,0x24,0x42,0x42,0x42,0x42,0x42,0x42,0x42,0x24,0x18,0x00,0x00, }; code unsigned char Zf816_1[]= { /*-- 文字: 1 --*/ /*-- 宋体12; 此字体下对应的点阵为:宽x高=8x16 --*/ 0x00,0x00,0x00,0x10,0x70,0x10,0x10,0x10,0x10,0x10,0x10,0x10,0x10,0x7C,0x00,0x00, }; code unsigned char Zf816_2[]= { /*-- 文字: 2 --*/ /*-- 宋体12; 此字体下对应的点阵为:宽x高=8x16 --*/ 0x00,0x00,0x00,0x3C,0x42,0x42,0x42,0x04,0x04,0x08,0x10,0x20,0x42,0x7E,0x00,0x00, }; code unsigned char Zf816_3[]= { /*-- 文字: 3 --*/ /*-- 宋体12; 此字体下对应的点阵为:宽x高=8x16 --*/ 0x00,0x00,0x00,0x3C,0x42,0x42,0x04,0x18,0x04,0x02,0x02,0x42,0x44,0x38,0x00,0x00, }; code unsigned char Zf816_4[]= { /*-- 文字: 4 --*/ /*-- 宋体12; 此字体下对应的点阵为:宽x高=8x16 --*/ 0x00,0x00,0x00,0x04,0x0C,0x14,0x24,0x24,0x44,0x44,0x7E,0x04,0x04,0x1E,0x00,0x00, }; code unsigned char Zf816_5[]= { /*-- 文字: 5 --*/ /*-- 宋体12; 此字体下对应的点阵为:宽x高=8x16 --*/ 0x00,0x00,0x00,0x7E,0x40,0x40,0x40,0x58,0x64,0x02,0x02,0x42,0x44,0x38,0x00,0x00, }; code unsigned char Zf816_6[]= { /*-- 文字: 6 --*/ /*-- 宋体12; 此字体下对应的点阵为:宽x高=8x16 --*/ 0x00,0x00,0x00,0x1C,0x24,0x40,0x40,0x58,0x64,0x42,0x42,0x42,0x24,0x18,0x00,0x00, }; code unsigned char Zf816_7[]= { /*-- 文字: 7 --*/ /*-- 宋体12; 此字体下对应的点阵为:宽x高=8x16 --*/ 0x00,0x00,0x00,0x7E,0x44,0x44,0x08,0x08,0x10,0x10,0x10,0x10,0x10,0x10,0x00,0x00, }; code unsigned char Zf816_8[]= { /*-- 文字: 8 --*/ /*-- 宋体12; 此字体下对应的点阵为:宽x高=8x16 --*/ 0x00,0x00,0x00,0x3C,0x42,0x42,0x42,0x24,0x18,0x24,0x42,0x42,0x42,0x3C,0x00,0x00, }; code unsigned char Zf816_9[]= { /*-- 文字: 9 --*/ /*-- 宋体12; 此字体下对应的点阵为:宽x高=8x16 --*/ 0x00,0x00,0x00,0x18,0x24,0x42,0x42,0x42,0x26,0x1A,0x02,0x02,0x24,0x38,0x00,0x00, }; code unsigned char Zf816_nc[]= //空字模 { 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, }; code unsigned char Zf816_dot[]= //小数点 { /*-- 文字: . --*/ /*-- 宋体12; 此字体下对应的点阵为:宽x高=8x16 --*/ 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x60,0x60,0x00,0x00, }; code unsigned char Zf816_mao_hao[]= //冒号 { /*-- 文字: : --*/ /*-- 宋体12; 此字体下对应的点阵为:宽x高=8x16 --*/ 0x00,0x00,0x00,0x00,0x00,0x00,0x18,0x18,0x00,0x00,0x00,0x00,0x18,0x18,0x00,0x00, }; code unsigned char Hz1616_yi[]= { /*-- 文字: 一 --*/ /*-- 宋体12; 此字体下对应的点阵为:宽x高=16x16 --*/ 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x04,0x7F,0xFE, 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, }; code unsigned char Hz1616_xiang[]= { /*-- 文字: 项 --*/ /*-- 宋体12; 此字体下对应的点阵为:宽x高=16x16 --*/ 0x00,0x00,0x03,0xFE,0xFC,0x20,0x10,0x40,0x11,0xFC,0x11,0x04,0x11,0x24,0x11,0x24, 0x11,0x24,0x11,0x24,0x1D,0x24,0xE1,0x34,0x00,0x48,0x01,0x86,0x06,0x02,0x00,0x00, }; code unsigned char Hz1616_shu[]= { /*-- 文字: 数 --*/ /*-- 宋体12; 此字体下对应的点阵为:宽x高=16x16 --*/ 0x08,0x20,0x49,0x30,0x2A,0x20,0x1C,0x20,0xFF,0x7E,0x1C,0x44,0x2B,0x44,0x48,0xC4, 0x08,0x28,0xFF,0x28,0x12,0x10,0x34,0x10,0x0C,0x28,0x32,0x4E,0xC0,0x84,0x00,0x00, }; code unsigned char Hz1616_zhu[]= { /*-- 文字: 组 --*/ /*-- 宋体12; 此字体下对应的点阵为:宽x高=16x16 --*/ 0x10,0x00,0x19,0xF8,0x11,0x08,0x25,0x08,0x25,0x08,0x79,0xF8,0x09,0x08,0x11,0x08, 0x21,0x08,0x7D,0xF8,0x01,0x08,0x01,0x08,0x0D,0x08,0x73,0xFE,0x00,0x00,0x00,0x00, }; code unsigned char Hz1616_zhi[]= { /*-- 文字: 值 --*/ /*-- 宋体12; 此字体下对应的点阵为:宽x高=16x16 --*/ 0x10,0x40,0x18,0x60,0x17,0xFC,0x10,0x40,0x20,0x80,0x33,0xF8,0x62,0x08,0xA3,0xF8, 0x22,0x08,0x23,0xF8,0x22,0x08,0x23,0xF8,0x22,0x08,0x22,0x08,0x2F,0xFE,0x20,0x00, }; /* 注释一: * 以下是画布显示数组。横向是6个字节,纵向16行,可以显示3个16x16的汉字. * 注意,这节内容的画布跟前面79章节的画布大小不一样,79节前面的横向是4个字节,这节的横向是6个字节。 */ unsigned char ucCanvasBuffer[]= { 0x00,0x00,0x00,0x00,0x00,0x00, //上半屏 0x00,0x00,0x00,0x00,0x00,0x00, 0x00,0x00,0x00,0x00,0x00,0x00, 0x00,0x00,0x00,0x00,0x00,0x00, 0x00,0x00,0x00,0x00,0x00,0x00, 0x00,0x00,0x00,0x00,0x00,0x00, 0x00,0x00,0x00,0x00,0x00,0x00, 0x00,0x00,0x00,0x00,0x00,0x00, //------------上半屏和下半屏的分割线----------- 0x00,0x00,0x00,0x00,0x00,0x00, //下半屏 0x00,0x00,0x00,0x00,0x00,0x00, 0x00,0x00,0x00,0x00,0x00,0x00, 0x00,0x00,0x00,0x00,0x00,0x00, 0x00,0x00,0x00,0x00,0x00,0x00, 0x00,0x00,0x00,0x00,0x00,0x00, 0x00,0x00,0x00,0x00,0x00,0x00, 0x00,0x00,0x00,0x00,0x00,0x00, }; /* 注释二: * 以下5个变量记录一个参数的5种信息,包括小数点的数量,小数点个数,数据的位置,数组具体值,整数个数 */ unsigned char ucDotCnt_1=0; //记录当前输入的小数点数量,如果小数点的数量不为0,说明当前数组已包含小数点,此时再按小数点按键则无效 unsigned char ucDotBitS_1=0; //记录当前输入的小数点个数,如果小数点的个数如果超过规定ucDotBitMax位,此时再按任何输入按键则无效 unsigned char ucWdPartCnt_1=0; //记录当前输入的数据在数组中的位置。 unsigned char ucDataBuffer_1[6]={0,10,10,10,10,10}; //一项的BCD码数组缓冲 unsigned char ucIntCnt_1=0; //记录当前输入的整数个数,如果整数的个数如果超过规定ucIntCntMax位,此时再按任何输入按键则无效 unsigned long ulData_1=0; //用一个long变量表示BCD码的具体数值。 unsigned char ucKeyStep=1; //按键扫描步骤变量 unsigned char ucKeySec=0; //被触发的按键编号 unsigned int uiKeyTimeCnt=0; //按键去抖动延时计数器 unsigned char ucKeyLock=0; //按键触发后自锁的变量标志 unsigned char ucRowRecord=1; //记录当前扫描到第几列了 unsigned int uiVoiceCnt=0; //蜂鸣器鸣叫的持续时间计数器 unsigned char ucWd=1; //窗口变量 unsigned char ucPart=1; //局部变量 0代表没有选中任何一行,其它数值1到4代表选中某一行 unsigned char ucWd1Update=1; //窗口1的整屏更新显示变量 1代表更新显示,响应函数内部会清零 unsigned char ucWd1Part1Update=0; //窗口1的第1行局部更新显示变量 1代表更新显示,响应函数内部会自动把它清零 unsigned char ucWd1Part2Update=0; //窗口1的第2行局部更新显示变量 1代表更新显示,响应函数内部会自动把它清零 void main() { initial_myself(); //第一区,上电后马上初始化 delay_long(100); //一线,延时线。延时一段时间 initial_peripheral(); //第二区,上电后延时一段时间再初始化 while(1) //第三区 { key_service(); //按键服务程序 lcd_display_service(); //应用层面的液晶屏显示程序 } } void initial_myself() //第一区 上电后马上初始化 { beep_dr=1; //用PNP三极管控制蜂鸣器,输出高电平时不叫。 TMOD=0x01; //设置定时器0为工作方式1 TH0=0xf8; //重装初始值(65535-2000)=63535=0xf82f TL0=0x2f; } void initial_peripheral() //第二区 上电后延时一段时间再初始化 { LCDInit(); //初始化12864 内部包含液晶模块的复位 EA=1; //开总中断 ET0=1; //允许定时中断 TR0=1; //启动定时中断 } void T0_time() interrupt 1 { TF0=0; //清除中断标志 TR0=0; //关中断 key_scan();//按键扫描函数 放在定时中断里 if(uiVoiceCnt!=0) { uiVoiceCnt--; //每次进入定时中断都自减1,直到等于零为止。才停止鸣叫 beep_dr=0; //蜂鸣器是PNP三极管控制,低电平就开始鸣叫。 } else { ; //此处多加一个空指令,想维持跟if括号语句的数量对称,都是两条指令。不加也可以。 beep_dr=1; //蜂鸣器是PNP三极管控制,高电平就停止鸣叫。 } TH0=0xf8; //重装初始值(65535-2000)=63535=0xf82f TL0=0x2f; TR0=1; //开中断 } void key_scan()//按键扫描函数 放在定时中断里 { switch(ucKeyStep) { case 1: //按键扫描输出第ucRowRecord列低电平 if(ucRowRecord==1) //第一列输出低电平 { key_dr1=0; key_dr2=1; key_dr3=1; key_dr4=1; } else if(ucRowRecord==2) //第二列输出低电平 { key_dr1=1; key_dr2=0; key_dr3=1; key_dr4=1; } else if(ucRowRecord==3) //第三列输出低电平 { key_dr1=1; key_dr2=1; key_dr3=0; key_dr4=1; } else //第四列输出低电平 { key_dr1=1; key_dr2=1; key_dr3=1; key_dr4=0; } uiKeyTimeCnt=0; //延时计数器清零 ucKeyStep++; //切换到下一个运行步骤 break; case 2: //此处的小延时用来等待刚才列输出信号稳定,再判断输入信号。不是去抖动延时。 uiKeyTimeCnt++; if(uiKeyTimeCnt>1) { uiKeyTimeCnt=0; ucKeyStep++; //切换到下一个运行步骤 } break; case 3: if(key_sr1==1&&key_sr2==1&&key_sr3==1&&key_sr4==1) { ucKeyStep=1; //如果没有按键按下,返回到第一个运行步骤重新开始扫描 ucKeyLock=0; //按键自锁标志清零 uiKeyTimeCnt=0; //按键去抖动延时计数器清零,此行非常巧妙 ucRowRecord++; //输出下一列 if(ucRowRecord>4) { ucRowRecord=1; //依次输出完四列之后,继续从第一列开始输出低电平 } } else if(ucKeyLock==0) //有按键按下,且是第一次触发 { if(key_sr1==0&&key_sr2==1&&key_sr3==1&&key_sr4==1) { uiKeyTimeCnt++; //去抖动延时计数器 if(uiKeyTimeCnt>const_key_time) { uiKeyTimeCnt=0; ucKeyLock=1;//自锁按键置位,避免一直触发,只有松开按键,此标志位才会被清零 if(ucRowRecord==1) //第一列输出低电平 { ucKeySec=1; //触发1号键 对应朱兆祺学习板的S1键 } else if(ucRowRecord==2) //第二列输出低电平 { ucKeySec=2; //触发2号键 对应朱兆祺学习板的S2键 } else if(ucRowRecord==3) //第三列输出低电平 { ucKeySec=3; //触发3号键 对应朱兆祺学习板的S3键 } else //第四列输出低电平 { ucKeySec=4; //触发4号键 对应朱兆祺学习板的S4键 } } } else if(key_sr1==1&&key_sr2==0&&key_sr3==1&&key_sr4==1) { uiKeyTimeCnt++; //去抖动延时计数器 if(uiKeyTimeCnt>const_key_time) { uiKeyTimeCnt=0; ucKeyLock=1;//自锁按键置位,避免一直触发,只有松开按键,此标志位才会被清零 if(ucRowRecord==1) //第一列输出低电平 { ucKeySec=5; //触发5号键 对应朱兆祺学习板的S5键 } else if(ucRowRecord==2) //第二列输出低电平 { ucKeySec=6; //触发6号键 对应朱兆祺学习板的S6键 } else if(ucRowRecord==3) //第三列输出低电平 { ucKeySec=7; //触发7号键 对应朱兆祺学习板的S7键 } else //第四列输出低电平 { ucKeySec=8; //触发8号键 对应朱兆祺学习板的S8键 } } } else if(key_sr1==1&&key_sr2==1&&key_sr3==0&&key_sr4==1) { uiKeyTimeCnt++; //去抖动延时计数器 if(uiKeyTimeCnt>const_key_time) { uiKeyTimeCnt=0; ucKeyLock=1;//自锁按键置位,避免一直触发,只有松开按键,此标志位才会被清零 if(ucRowRecord==1) //第一列输出低电平 { ucKeySec=9; //触发9号键 对应朱兆祺学习板的S9键 } else if(ucRowRecord==2) //第二列输出低电平 { ucKeySec=10; //触发10号键 对应朱兆祺学习板的S10键 } else if(ucRowRecord==3) //第三列输出低电平 { ucKeySec=11; //触发11号键 对应朱兆祺学习板的S11键 } else //第四列输出低电平 { ucKeySec=12; //触发12号键 对应朱兆祺学习板的S12键 } } } else if(key_sr1==1&&key_sr2==1&&key_sr3==1&&key_sr4==0) { uiKeyTimeCnt++; //去抖动延时计数器 if(uiKeyTimeCnt>const_key_time) { uiKeyTimeCnt=0; ucKeyLock=1;//自锁按键置位,避免一直触发,只有松开按键,此标志位才会被清零 if(ucRowRecord==1) //第一列输出低电平 { ucKeySec=13; //触发13号键 对应朱兆祺学习板的S13键 } else if(ucRowRecord==2) //第二列输出低电平 { ucKeySec=14; //触发14号键 对应朱兆祺学习板的S14键 } else if(ucRowRecord==3) //第三列输出低电平 { ucKeySec=15; //触发15号键 对应朱兆祺学习板的S15键 } else //第四列输出低电平 { ucKeySec=16; //触发16号键 对应朱兆祺学习板的S16键 } } } } break; } } void key_service() //按键服务的应用程序 { switch(ucKeySec) //按键服务状态切换 { case 1:// 数字1 对应朱兆祺学习板的S1键 key_number_input(1); //输入数字按键 uiVoiceCnt=const_voice_short; //按键声音触发,滴一声就停。 ucKeySec=0; //响应按键服务处理程序后,按键编号清零,避免一致触发 break; case 2:// 数字2 对应朱兆祺学习板的S2键 key_number_input(2); //输入数字按键 uiVoiceCnt=const_voice_short; //按键声音触发,滴一声就停。 ucKeySec=0; //响应按键服务处理程序后,按键编号清零,避免一致触发 break; case 3:// 数字3 对应朱兆祺学习板的S3键 key_number_input(3); //输入数字按键 uiVoiceCnt=const_voice_short; //按键声音触发,滴一声就停。 ucKeySec=0; //响应按键服务处理程序后,按键编号清零,避免一致触发 break; case 4:// 数字4 对应朱兆祺学习板的S4键 key_number_input(4); //输入数字按键 uiVoiceCnt=const_voice_short; //按键声音触发,滴一声就停。 ucKeySec=0; //响应按键服务处理程序后,按键编号清零,避免一致触发 break; case 5:// 数字5 对应朱兆祺学习板的S5键 key_number_input(5); //输入数字按键 uiVoiceCnt=const_voice_short; //按键声音触发,滴一声就停。 ucKeySec=0; //响应按键服务处理程序后,按键编号清零,避免一致触发 break; case 6:// 数字6 对应朱兆祺学习板的S6键 key_number_input(6); //输入数字按键 uiVoiceCnt=const_voice_short; //按键声音触发,滴一声就停。 ucKeySec=0; //响应按键服务处理程序后,按键编号清零,避免一致触发 break; case 7:// 数字7 对应朱兆祺学习板的S7键 key_number_input(7); //输入数字按键 uiVoiceCnt=const_voice_short; //按键声音触发,滴一声就停。 ucKeySec=0; //响应按键服务处理程序后,按键编号清零,避免一致触发 break; case 8: //数字8 对应朱兆祺学习板的S8键 key_number_input(8); //输入数字按键 uiVoiceCnt=const_voice_short; //按键声音触发,滴一声就停。 ucKeySec=0; //响应按键服务处理程序后,按键编号清零,避免一致触发 break; case 9:// 数字9 对应朱兆祺学习板的S9键 key_number_input(9); //输入数字按键 uiVoiceCnt=const_voice_short; //按键声音触发,滴一声就停。 ucKeySec=0; //响应按键服务处理程序后,按键编号清零,避免一致触发 break; case 10:// 数字0 对应朱兆祺学习板的S10键 key_number_input(0); //输入数字按键 uiVoiceCnt=const_voice_short; //按键声音触发,滴一声就停。 ucKeySec=0; //响应按键服务处理程序后,按键编号清零,避免一致触发 break; case 11:// 小数点按键 对应朱兆祺学习板的S11键 key_number_input(11); //输入数字按键 11代表小数点 uiVoiceCnt=const_voice_short; //按键声音触发,滴一声就停。 ucKeySec=0; //响应按键服务处理程序后,按键编号清零,避免一致触发 break; case 12:// 本节暂时不用 对应朱兆祺学习板的S12键 uiVoiceCnt=const_voice_short; //按键声音触发,滴一声就停。 ucKeySec=0; //响应按键服务处理程序后,按键编号清零,避免一致触发 break; case 13:// 本节暂时不用 对应朱兆祺学习板的S13键 uiVoiceCnt=const_voice_short; //按键声音触发,滴一声就停。 ucKeySec=0; //响应按键服务处理程序后,按键编号清零,避免一致触发 break; case 14:// 本节暂时不用 对应朱兆祺学习板的S14键 uiVoiceCnt=const_voice_short; //按键声音触发,滴一声就停。 ucKeySec=0; //响应按键服务处理程序后,按键编号清零,避免一致触发 break; case 15:// 本节暂时不用 对应朱兆祺学习板的S15键 uiVoiceCnt=const_voice_short; //按键声音触发,滴一声就停。 ucKeySec=0; //响应按键服务处理程序后,按键编号清零,避免一致触发 break; case 16:// 清除按键 对应朱兆祺学习板的S16键 key_delete_input(); //删除按键 uiVoiceCnt=const_voice_short; //按键声音触发,滴一声就停。 ucKeySec=0; //响应按键服务处理程序后,按键编号清零,避免一致触发 break; } } void key_number_input(unsigned char ucKeyNumber) //输入数字按键 { switch(ucWd) { case 1: //第1窗口。本节程序只有1个窗口 switch(ucPart) { case 1: //1窗口第1项 set_data(ucKeyNumber, //本函数跟前面第83节内容有所改动,请看本函数具体内容。本节的核心内容,值得好好研究! 2, //小数点最大个数 6, //数组缓冲最大个数 &ucDotCnt_1, &ucDotBitS_1, &ucWdPartCnt_1, ucDataBuffer_1, 3, //整数部分的最大个数 &ucIntCnt_1); ulData_1=buffer_to_data(6,2,ucDataBuffer_1); //把带小数点的BCD码数组转换成long数值。 ucWd1Part1Update=1;//第一行局部更新显示 ucWd1Part2Update=1;//第二行局部更新显示 break; } break; } } /* 注释三: * 本函数在前面第83节内容的函数上有改动,为了限制整数部分的个数,多添加了第8和第9这两个参数。 * 本节的核心函数,值得好好研究! * 涉及到参数的4种信息,包括小数点的数量,小数点的个数,数据的位置,数组具体值,整数的数量,整数的个数,以及它们之间的相互作用关系。 * 以下参数,指针类型的参数是让代入的全局变量在退出函数后维持它当前最新更改的数值不变。 * 第1个参数ucKeyNumberTemp是当前按键输入的数值。 * 第2个参数ucDotBitMax是限定被设置参数的小数点最大位数。 * 第3个参数ucDataCntMax是限定被设置参数的最大数组个数。 * 第4个参数*p_ucDotCnt是记录当前输入的小数点数量,如果小数点的数量不为0,说明当前数组已包含小数点,此时再按小数点按键则无效。 * 第5个参数*p_ucDotBitS是记录当前输入的小数点个数,如果小数点的个数如果超过规定ucDotBitMax位,此时再按任何输入按键则无效 * 第6个参数*p_ucWdPartCnt是记录当前输入的数据在数组中的位置,方便锁定每次按键输入的数字显示位置。 * 第7个参数*p_ucSetDataBuffer是BCD码数组缓冲的具体数字内容。 * 第8个参数ucIntCntMax是限定被设置参数的整数部分的最大位数。 * 第9个参数*p_ucIntCnt是记录当前输入的整数部分个数,如果整数部分的个数如果超过规定ucIntCntMax位,此时再按任何输入按键则无效 */ void set_data(unsigned char ucKeyNumberTemp, unsigned char ucDotBitMax, unsigned char ucDataCntMax, unsigned char *p_ucDotCnt, unsigned char *p_ucDotBitS, unsigned char *p_ucWdPartCnt, unsigned char *p_ucSetDataBuffer, unsigned char ucIntCntMax, unsigned char *p_ucIntCnt) { unsigned int i; if(ucKeyNumberTemp==11) //等于小数点 { if(ucDotBitMax==0) //如果限定的小数点最大数是0,就意味着此数据不允许带小数点,必须是整数。 { return; //直接返回退出 } else if(*p_ucDotCnt>0) //小数点个数大于0,意味着当前数组已经包含了小数点,此时再输入小数点则无效。 { return; //直接返回退出 } else //否则有效,记录当前已经包含一个小数点的信息。 { *p_ucDotCnt=1; //只能包含一个小数点 } } else //如果输入的不是小数点 { if(*p_ucDotCnt==1) //如果之前已经输入了一个小数点,那么此时输入的数字就是小数点后的数据 { if(*p_ucDotBitS=10000) { ucDataBuffer_temp[5]=ulData_1%100000/10000; } else { ucDataBuffer_temp[5]=10; //空格 } if(ulData_1>=1000) { ucDataBuffer_temp[4]=ulData_1%10000/1000; } else { ucDataBuffer_temp[4]=10; //空格 } ucDataBuffer_temp[3]=ulData_1%1000/100; ucDataBuffer_temp[2]=11; //11代表小数点 ucDataBuffer_temp[1]=ulData_1%100/10; ucDataBuffer_temp[0]=ulData_1%10/1; for(i=0;i<6;i++) //把每个数组缓冲的字模依次插入画布 { p_ucAnyNumber=number_to_matrix(ucDataBuffer_temp[5-i]); insert_buffer_to_canvas(i,0,p_ucAnyNumber,0,1,16); //这里的i是画布的横向地址,一共可以显示6个字符,因此取值范围是0到5 } display_lattice(5,16,ucCanvasBuffer,ucCursorFlag,6,16,0); //显示整屏的画布,最后的参数0是偏移量 } break; //本程序只有1个窗口,所以只有一个case 1,如果要增加窗口,就直接增加 case 2, case 3... } } void clear_all_canvas(void) //把画布全部清零 { unsigned int j=0; unsigned int i=0; for(j=0;j<16;j++) //这里的16表示画布有16行 { for(i=0;i<4;i++) //这里的4表示画布每行有4个字节 { ucCanvasBuffer[j*4+i]=0x00; } } } void display_clear(unsigned char ucFillDate) // 清屏 全部显示空填充0x00 全部显示点阵用0xff { unsigned char x,y; WriteCommand(0x34); //关显示缓冲指令 WriteCommand(0x34); //关显示缓冲指令 故意写2次,怕1次关不了 这个是因为我参考到某厂家的驱动程序也是这样写的 y=0; while(y<32) //y轴的范围0至31 { WriteCommand(y+0x80); //垂直地址 WriteCommand(0x80); //水平地址 for(x=0;x<32;x++) //256个横向点,有32个字节 { LCDWriteData(ucFillDate); } y++; } WriteCommand(0x36); //开显示缓冲指令 } /* 注释五: * 注意,这节内容的画布跟第79节前面的画布大小不一样,第79节前面的横向是4个字节,这节的横向是6个字节。 * 把字模插入画布的函数. * 这是本节的核心函数,读者尤其要搞懂x_amount和y_amount对应的显示关系。 * 第1,2个参数x,y是在画布中的坐标体系。 * x的范围是0至5,因为画布的横向只要6个字节。y的范围是0至15,因为画布的纵向只有16行。 * 第3个参数*ucArray是字模的数组。 * 第4个参数ucFbFlag是反白显示标志。0代表正常显示,1代表反白显示。 * 第5,6个参数x_amount,y_amount分别代表字模数组的横向有多少个字节,纵向有几横。 */ void insert_buffer_to_canvas(unsigned int x,unsigned int y,const unsigned char *ucArray,unsigned char ucFbFlag,unsigned int x_amount,unsigned int y_amount) { unsigned int j=0; unsigned int i=0; unsigned char ucTemp; for(j=0;j
        


总结陈词:

这节讲了把BCD码数组同步实时转换成数值的算法程序,相反,把数值转换成BCD码数组的逆运算程序应该怎么写?欲知详情,请听下回分解----实时同步把加减按键输入的数值转换成BCD码数组的液晶屏显示程序。


(未完待续,下节更精彩,不要走开哦)


菜鸟
2014-12-22 13:06:28 打赏
144楼
这样的帖子要支持,10年不容易,善于总结

菜鸟
2016-02-29 11:28:21 打赏
145楼
膜拜一下,经常来学习学习,mark

助工
2016-03-31 19:49:16 打赏
146楼
代码为什么看不到?

共146条 15/15 |‹ 10 11 12 13 14 15 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册]