首页  资讯  商机   下载  拆解   高校  招聘   杂志  会展  EETV  百科   问答  电路图  工程师手册   Datasheet  100例   活动中心  E周刊阅读   样片申请
EEPW首页 >> 主题列表 >> vhdl-cpld

vhdl-cpld 文章 进入vhdl-cpld技术社区

基于STM32F4和CPLD的高品质立体声USB数字音频接口设计

  • 在高品质音频系统应用中,USB协议被广泛用于设计数字音频输入接口。目前专用USB数字音频芯片较少,这阻碍了USB数字音频接口的推广使用。本文基于STM32F4系列芯片开发了符合USB Audio Devices Class 2.0规范的音频输入接口,使用CPLD实现了同时支持PCM和DSD数据的数字音频输出接口。依据设计方案制作了硬件实物,通过实验验证了设计的正确性和可行性。设计解决了通用芯片在数字音频接口领域应用的难点,也可作为其他开发平台的设计参考。
  • 关键字: STM32  STM32F4  CPLD  USB音频设备类  数字音频接口  202010  

高云半导体自主研发的逻辑综合工具Gowin Synthesis支持VHDL硬件描述语言

  • 全球增长最快的可编程逻辑公司-广东高云半导体科技股份有限公司(以下简称“高云半导体”)近日宣布,高云半导体自主研发的逻辑综合工具Gowin Synthesis支持VHDL(Very-High-Speed Integrated Circuit Hardware Description Language)硬件描述语言流程综合。VHDL语言诞生于1982年,最初是由美国国防部开发出来供美军用来提高设计可靠性和缩减开发周期的一种使用范围较小的设计语言。1987年,VHDL被IEEE确认为标准硬件描述语言。VHDL
  • 关键字: 半导体  VHDL  

携手并进,共赢未来,热烈祝贺润欣科技成为安路科技代理商

  • 在安路科技品牌影响力迅速提升的情况下,安路科技与上海润欣科技股份有限公司(股票代码SZ300493,以下简称“润欣科技”)近日签署了“授权代理协议书”,达成了新的战略合作,润欣科技成为了安路科技的全线FPGA产品代理商。安路科技销售部副总梁成志对此次战略合作充满信心与期待,“非常高兴能与润欣科技建立合作关系,润欣是国内领先的半导体分销及解决方案提供商,在移动通讯、智能物联网和汽车电子等领域积累了优质的客户资源及丰富的市场经验。随着云计算、人工智能、新一代通信技术等新兴行业的迅速崛起,庞大的FPGA增量市场
  • 关键字: FPGA  CPLD  

一文看懂VHDL和Verilog有何不同

  •   当前最流行的硬件设计语言有两种,即 VHDL 与 Verilog HDL,两者各有优劣,也各有相当多的拥护者。VHDL 语言由美国军方所推出,最早通过国际电机工程师学会(IEEE)的标准,在北美及欧洲应用非常普遍。而 Verilog HDL 语言则由 Gateway 公司提出,这家公司辗转被Cadence所购并,并得到Synopsys的支持。在得到这两大 EDA 公司的支持后,也随后通过了 IEEE 标准,在美国、日本及中国台湾地区使用非常普遍。  我们把这两种语言具体比较下:  1.整体结构  点评
  • 关键字: VHDL  Verilog  

A/D转换组合工作原理剖和结构组成分析

  •   1引言  A/D转换组合是雷达目标诸元数据转换、传输的核心部件,一旦出现故障,目标信号将无法传送到信息处理中心进行处理,从而导致雷达主要功能失效。某设备的A/D转换设备结构复杂,可靠性差,可维修性差,故障率高,因此,采用CPLD技术和器件研究A/D转换组合,改善该设备的总体性能。  2 A/D转换组合工作原理剖析  A/D转换组合作为武器系统的核心部件,接口特性和功能与武器系统的兼容,是新A/D转换组合研制成功的前提,因此,必须对引进A/D转换组合进行详细的分析研究,提取接口特性及其参数,分析组合功能
  • 关键字: A/D  CPLD  

VHDL语言实现的帧同步算法

  • 数字通信网中,帧同步是同步复接设备中最重要的部分,他包括帧同步码的产生和帧同步码的识别,其中接收端的帧同步识别电路的结构对同步性能的影响是主
  • 关键字: VHDL  帧同步  算法  

常用FPGA/CPLD四种设计技巧

  • 常用FPGA/CPLD四种设计技巧,FPGA/CPLD的设计思想与技巧是一个非常大的话题,本文仅介绍一些常用的设计思想与技巧,包括乒乓球操作、串并转换、流水线操作和数据接口的同步方法。希望本文能引起工程师们的注意,如果能有意识地利用这些原则指导日
  • 关键字: FPGA  CPLD  设计技巧  

基于LPC1764的多道脉冲幅度分析器的电路设计

  • 摘要:为解决核辐射测量的实时性问题,设计了基于ARM Cortex—M3内核的LPC1764处理器、CPLD和高速A/D转换等芯片构造多道脉冲幅度分析器的电路系统,该系统使用CPLD对高速A/D转换数据进行处理,实现脉冲甄别和
  • 关键字: 脉冲幅度分析器  CPLD  ARM  实时测量  

汽车识别系统的经典设计方案汇总,包括原理图,源代码

  • 车牌识别技术是计算机视频图像识别技术在车辆牌照识别中的一种应用。车牌识别技术要求能够将运动中的汽车牌照从复杂背景中提取并识别出来,通过车牌提
  • 关键字: 车牌识别  matlab  FPGA  DSP  CPLD  

基于CPLD的电池管理双CAN控制器的设计与实现

  • 电池管理系统是混合动力汽车中重要的电子控制单元,具有保障电池正常、可靠和高效工作的作用,是电池与用电设备之间的桥梁。在研制以及批量生产过程中
  • 关键字: CPLD  电池管理  双CAN控制器  

十年FPGA开发经验工程师教你的绝密设计技巧

  • 从大学时代第一次接触FPGA至今已有10多年的时间,至今记得当初第一次在EDA实验平台上完成数字秒表、抢答器、密码锁等实验时那个兴奋劲。当时由于没有接
  • 关键字: CPLD  电子工程师  FPGA  

如何基于CPLD的电池供电系统断电电路的设计?

  • 今天,大多数的CPLD(复杂可编程逻辑器件)都采用可减少功耗的工作模式,但当系统未使用时,应完全切断电源以保存电池能量,从而实现很多设计者的终极节
  • 关键字: CPLD  电池供电  断电电路  

一种基于CPLD的DMA控制器IP核设计

  • 但是由于8013硬件结构和指令系统的限制,当需要高速率大批量数据传送时,数据吞吐速率往往不能满足设计要求。即使采用提升振荡器频率的办法,结果仍不
  • 关键字: 可编程逻辑器件  CPLD  VHDL语言  DMA控制器  

基于CPLD的测试系统接口设计

  • 介绍了一种用CPLD(复杂可编程逻辑器件)作为核心控制电路的测试系统接口,通过时cPLD和竹L电路的比较及cPLD在系统中实现的强大功能,论述了CPLD在测试系
  • 关键字: EDA  CPLD  测试系统接口  VHDL  

基于VHDL逻辑电路设计与应用

  • 随着集成电路技术的高速发展,VHDL已成为设计数字硬件时常用的一种重要手段。介绍EDA技术及VHDL语言特点,以串行加法器为例,分析串行加法器的工作原理
  • 关键字: EDA  VHDL  串行加法器  
共992条 1/67 1 2 3 4 5 6 7 8 9 10 » ›|
关于我们 - 广告服务 - 企业会员服务 - 网站地图 - 联系我们 - 征稿 - 友情链接 - 手机EEPW
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司
备案 京ICP备12027778号-2 北京市公安局备案:1101082052    京公网安备11010802012473