首页 资讯 商机 下载 拆解 高校 招聘 杂志 会展 EETV 百科 问答 电路图 工程师手册 Datasheet 100例 活动中心 E周刊阅读 样片申请
EEPW首页>> 主题列表>> verilog hdl

基于ESL并采用System C和System Verilog的设计流程

  •  ESL解决方案的目标在于提供让设计人员能够在一种抽象层次上对芯片进行描述和分析的工具和方法,在这种抽象层次上,设计人员可以对芯片特性进行功能性的描述,而没有必要求助于硬件(RTL)实现的具体细节。 当今
  • 关键字:SystemVerilogESL设计流程

科锐发布Verilog-A无线射频器件模型

  • 科锐公司(Nasdaq: CREE)宣布推出适用于 GaN 无线射频器件的全新 Verilog-A 非线性器件模型,该模型专为安捷伦的 ADS 以及 AWR 的 Microwave Office 等领先无线射频设计平台而研发。全新器件模型能够支持更为复杂的电路仿真,包括最新宽带调制包络分析和4G 蜂窝通信的多模式无线射频功率放大器。
  • 关键字:科锐无线射频Verilog-A

基于Verilog实现电器定时开关控制

  • 1、前言随着当今社会工作和生活节奏的加快,人们对许多电器、仪器、设备的自动化要求也越来越高,但现 ...
  • 关键字:Verilog电器定时开关控制

Verilog代码验证的全面性与代码覆盖率分析

  • Verilog代码验证的全面性与代码覆盖率分析,对于复杂的设计来说,Verilog代码覆盖率检查是检查验证工作是否完全的重要方法,代码覆盖率(codecoverge)可以指示Verilog代码描述的功能有多少在仿真过程中被验证过了,代码覆盖率分析包括以下分析内容。1、语句覆
  • 关键字:代码分析覆盖率验证Verilog全面性

Verilog串口UART程序

  • Verilog串口UART程序,==========================================================================
    //-----------------------------------------------------
    // Design Name : uart
    // File Name : uart.v
    // Function : S
  • 关键字:程序UART串口Verilog

采用Verilog的数字跑表设计

  • 本节通过Verilog HDL语言编写一个具有“百分秒、秒、分”计时功能的数字跑表,可以实现一个小时以内精确至百 ...
  • 关键字:Verilog数字跑表

Verilog模拟PS2协议的方法

  • Verilog模拟PS2协议的方法,PS2协议读键盘值相当简单嘛,比模拟SPI、I2C简单多了...下面介绍一下具体过程.1.明确接线关系,只需接4根线,VCC要+5V,3.3我测试过不能用,时钟和数据线要用bidir双向口线,FPGA可以不用外接上拉电阻。另外,USB键盘
  • 关键字:方法协议PS2模拟Verilog

可以将第三方的IP(来自VHDL或Verilog)吸纳到NI Fl

  • 如果适配器模块是由NI公司开发的,那么不需要任何VHDL或其他硬件描述语言的经验。所有的FPGA编程均通过NI LabVIEW FPGA模块和NI-RIO驱动程序软件以图形化的方式完成。如果该适配器模块是由第三方开发的,则或许提供定
  • 关键字:FlexRIOVerilogVHDLIP

MATHWORKS推出基于MATLAB生成HDL代码的产品

  • MathWorks近日宣布推出HDL Coder,该产品支持MATLAB 自动生成 HDL 代码,允许工程师利用广泛应用的 MATLAB 语言实现 FPGA 和 ASIC 设计。MathWorks还宣布推出了HDL Verifier,该产品包含用于测试 FPGA 和 ASIC 设计的 FPGA 硬件在环功能。有了这两个产品,MathWorks现在可提供利用 MATLAB 和 Simulink 进行 HDL 代码生成和验证的能力。
  • 关键字:MathWorksFPGAHDL

基于FPGA和Verilog的液晶显示控制器设计

  • 液晶显示器由于具有低压、微功耗、显示信息量大、体积小等特点,在移动通信终端、便携计算机、GPS卫星定位系统等领域有广泛用途,成为使用量最大的显示器件。液晶显示控制器作为液晶驱动电路的核心部件通常由集成电路
  • 关键字:控制器设计液晶显示VerilogFPGA基于

CY7C68013与FPGA接口的Verilog HDL实现

  • 0 引 言USB(通用串行总线)是英特尔、微软、IBM、康柏等公司1994年联合制定的一种通用串行总线规范,它解决了与网络通信问题,而且端口扩展性能好、容易使用。最新的USB2.0支持3种速率:低速1.5 Mbit/s,全速12 Mbit/
  • 关键字:VerilogC6801368013FPGA

基于XCR3032的大容量FLASH存储器接口设计

verilog PS2键盘解码源程序

  • 之前探讨过PS/2键盘编解码以及数据传输协议,这次自己动手实现了利用FPGA接收键盘编码,然后通过串口传输到PC。做的比较简单,只是通过FPGA把大写字母A-Z转换成相应的ASCII码,只要字母按键被按下,就能在串口调试助
  • 关键字:源程序解码键盘PS2verilog

学习FPGA应注意的问题

  • FPGA的基础就是数字电路和HDL语言,想学好FPGA的人,建议床头都有一本数字电路的书,不管是哪个版本的,这个是基础,多了解也有助于形成硬件设计的思想。在语言方面,建议初学者学习Verilog语言,VHDL语言语法规范严格,调试起来很慢,Verilog语言容易上手,而且,一般大型企业都是用Verilog语言。
  • 关键字:赛灵思FPGAHDL

FPGA入门知识

  • 目前以硬件描述语言(Verilog 或 VHDL)所完成的电路设计,可以经过简单的综合与布局,快速的烧录至 FPGA 上进行测试,是现代 IC 设计验证的技术主流。这些可编辑元件可以被用来实现一些基本的逻辑门电路(比如AND、OR、XOR、NOT)或者更复杂一些的组合功能比如解码器或数学方程式。在大多数的FPGA里面,这些可编辑的元件里也包含记忆元件例如触发器(Flip-flop)或者其他更加完整的记忆块。
  • 关键字:赛灵思FPGAVerilog
共200条 10/14|‹«567891011121314»

verilog hdl介绍

Verilog HDL是一种硬件描述语言(HDL:Hardware Discription Language),是一种以文本形式来描述数字系统硬件的结构和行为的语言,用它可以表示逻辑电路图、逻辑表达式,还可以表示数字逻辑系统所完成的逻辑功能。   Verilog HDL和VHDL是目前世界上最流行的两种硬件描述语言,都是在20世纪80年代中期开发出来的。前者由Gateway Design Aut [ 查看详细]

热门主题

关于我们- 广告服务- 企业会员服务- 网站地图- 联系我们- 征稿- 友情链接- 手机EEPW
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司
备案京ICP备12027778号-2 北京市公安局备案:1101082052 京公网安备11010802012473