首页 资讯 商机 下载 拆解 高校 招聘 杂志 会展 EETV 百科 问答 电路图 工程师手册 Datasheet 100例 活动中心 E周刊阅读 样片申请
EEPW首页>> 主题列表>> verilog-hdl

采用Verilog的数字跑表设计及实验

  • 本节通过Verilog HDL语言编写一个具有“百分秒、秒、分”计时功能的数字跑表,可以实现一个小时以内精确至百分之一秒的计时。
  • 关键字:计数器数字跑表Verilog

FPGA协处理器实现代码加速的设计

  • 本文主要研究了代码加速和代码转换到硬件协处理器的方法。我们还分析了通过一个涉及到基于辅助处理器单元(APU)的实际图像显示案例的基准数据均衡决策的过程。该设计使用了在一个平台FPGA中实现的一个嵌入式PowerPC。
  • 关键字:协处理器代码加速HDL

基于Verilog HDL的I2C总线功能的实现

  • 简述了I2C总线的特点;介绍了开发FPGA时I2C总线模块的设计思路;给出并解释了用Verilog HDL实现部分I2C总线功能的程序,以及I2C总线主从模式下的仿真时序图。
  • 关键字:VerilogI2C仿真时序

基于Verilog的SMBus总线控制器的设计与实现

  • SMBus是一种高效的同步串行总线。通过分析SMBus总线协议,提出了一种运行于基于PCI-Express技术的桥接芯片上的SMBus控制器的设计方案,并且用Verilog语言描述,最后在Altera公司的FPGA上得以实现。通过仿真测试,证明该方法是稳定有效的。
  • 关键字:SMBus总线Verilog有限状态机

基于FPGA实现CPCI数据通信

  • 本文设计的系统采用PLX公司生产的CPCI协议转换芯片PCI9054,通过Verilog HDL语言在FPGA中产生相应的控制信号,完成对数据的快速读写,从而实现了与CPCI总线的高速数据通信。
  • 关键字:CPCI协议转换VerilogFPGA

抗故障攻击的专用芯片存储单元设计

  • 在复用检测和线性校验码检测的基础上,提出互补存储、奇偶校验和汉明码校验三种存储单元的抗故障攻击防护方案。应用这三种方案,用硬件描述语言Verilog设计了三种抗故障攻击双端口RAM存储器,在Altera 公司的器件EP1C12Q240C8上予以实现。
  • 关键字:汉明码校验存储单元Verilog

基于Avalon-ST接口帧读取IP核的设计和应用

  • 研究基于Avalon-ST接口帧读取的IP核设计应用,通过Avalon-ST接口将外部存储中不同格式的帧数据转化为视频流输出。根据Avalon总线协议及Avalon-ST视频协议研究设计方案,使用Verilog HDL语言对模块进行硬件设计,并将实现的模块进行测试。
  • 关键字:Avalon-STIP核Verilog

基于FPGA和Verilog的LCD控制器设计

  • 本文选用Xilinx公司的SpananIII系列XC3S200器件,利用硬件描述语言Verilog设计了液晶显示拧制器,实现了替代专用集成电路驱动控制LCD的作用。
  • 关键字:Verilog液晶显示拧制器LCD

基于FPGA的3D图像处理器IP核的实现

  • LCD显示屏的应用越来越广,数量越来越多。LCD显示屏应用广泛,无处不在。如家庭各种电器设备。更常见是用于各种公共场合如体育馆、广场等商业用途。给我们传递一种更为直观、生动的信息。从此我们的生活发生了巨大改变。巨大的应用巨大的市场带来了巨大的商机。基于FPGA的LCD显示的3D影像是为了LCD显示屏的信息量更多,满足人需求。
  • 关键字:IP核3D图像处理器FPGALCDVerilog

基于至简设计法实现的PWM调制verilog

  •   一、 功能描述  脉冲宽度调制(pulse width modelation)简称PWM,利用微处理器的数字输出来对模拟电路进行控制的一种非常有效的技术,广泛应用在从测量、通信到功率控制与变换的许多领域中脉冲宽度调制是利用微处理器的数字输出来对模拟电路进行控制的一种非常有效的技术,广泛应用在从测量、通信到功率控制与变换的许多领域中。  在本章的应用中可以认为PWM就是一种方波。如图所示:     PWM波形图  上图是一个周期为10ms,高电平为
  • 关键字:PWMverilog

Verilog HDL硬件描述语言:task和function说明语句的区别

  • task和function说明语句的区别task和function说明语句分别用来定义任务和函数。利用任务和函数可以把一个很大的程序模块分解成许多较小的任务和函数便于理解和调试。输入、输出和总线信号的值可以传入或传出任务和函
  • 关键字:functionVerilogtaskHDL

Verilog HDL设计进阶:有限状态机的设计原理及其代码风格

  • 由于Verilog HDL和 VHDL 行为描述用于综合的历史还只有短短的几年,可综合风格的Verilog HDL 和VHDL的语法只是它们各自语言的一个子集。又由于HDL的可综合性研究近年来非常活跃,可综合子集的国际标准目前尚未最后形
  • 关键字:VerilogHDL进阶代码

基于FPGA的自适应均衡器的研究与设计

  • 摘要:近年来,自适应均衡技术在通信系统中的应用日益广泛,利用自适应均衡技术在多径环境中可以有效地提高数字接收机的性能。为了适应宽带数字接收机的高速率特点,本文阐述了自适应均衡器的原理并对其进行改进。最
  • 关键字:自适应均衡器宽带数字接收机FPGAVerilog HDL

基于FPGA的高速长线阵CCD驱动电路

  • 高速长线阵CCD(电荷耦合器)具有低功耗,小体积,高精度等优势,广泛应用于航天退扫系统中的图像数据采集。而CCD驱动电路设计是CCD正常工作的关键问题之一,CCD驱动信号时序是一组相位要求严格的脉冲信号,只有时序信
  • 关键字:CCD线阵FPGAverilog HDL

对 Verilog 和 VHDL 说再见!

  • 上周我跟我同事说,“ 两种语言阻碍了嵌入式系统开发人员和软件工程师借助Zynq SOCs来提升系统性能。”那就是“Verilog” 和 “VHDL”正如期待那样,这已经得到了解决—因为SD
  • 关键字:VerilogVHDLSDSoC
关于我们- 广告服务- 企业会员服务- 网站地图- 联系我们- 征稿- 友情链接- 手机EEPW
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司
备案京ICP备12027778号-2 北京市公安局备案:1101082052 京公网安备11010802012473