首页 资讯 商机 下载 拆解 高校 招聘 杂志 会展 EETV 百科 问答 电路图 工程师手册 Datasheet 100例 活动中心 E周刊阅读 样片申请
EEPW首页>> 主题列表>> vhdl-cpld

基于DSP与CPLD的多通道数据采集系统

  • 用于实时控制系统的嵌入式系统经常需要对模拟量进行测量,通常的方法是以MCU为主产生采集控制时序控制模数转换器,并通过中断或查询的方式读取转换后的结果。由MCU产生采集控制时序将占用较多的系统软硬件资源。而在
  • 关键字:数据采集系统通道CPLDDSP基于

CPLD和MSP430单片机在导波雷达物位计中的应用

  • 导波雷达物位计是一种利用时域反射原理实现的高性能物位计。为了实现导波雷达物位计这一高精度时差测量系统,采用了CPLD和MSP430单片机协同工作的电路设计。CPLD为信号收发模块的核心,为发射电路中提供窄脉冲产生电路的周期触发信号,并在接收电路中控制可编程延时器件AD9500实现等效时间采样,把高频的回波脉冲信号在时间轴上放大为低频信号。以MSP430为核心的信号处理模块根据收发模块传来的信号计算物位,并把物位信息以4-20 mA信号、串口等方式输出,同时MSP430还对液晶屏、按键等外围器件进行控制。实
  • 关键字:导波雷达物位计等效时间采样MSP430CPLD

基于DSP和CPLD的软开关电源的数字控制器

  • 基于DSP和CPLD的软开关电源的数字控制器,1 引言近年来,随着大功率开关电源的发展,对控制器的要求越来越高,开关电源的数字化和智能化也将成为未来的发展方向。目前,我国的大功率开关电源多采用传统的 模拟控制方式,电路复杂,可靠性差。因此,采用集成度
  • 关键字:数字控制器开关电源CPLDDSP基于

基于ARM11+CPLD的小型无人机飞行控制器设计

  • 1 引言小型无人机具有重量轻、使用费用低、机动灵活等特点,在军用、民用领域有着广泛的应用。在民用领域, ...
  • 关键字:ARM11CPLD飞行控制器

基于CPLD的服务机器人的视觉系统设计

  • 随着计算机科学和自动控制技术的发展,越来越多的不同种类的智能机器人出现在工厂、生活当中,机器人视觉系统作为智能机器人系统中一个重要的子系统,也越来越受到人们的重视。它涉及了图像处理、模式识别和视觉跟踪
  • 关键字:CPLD服务机器人视觉系统

单片机多机冗余设计及控制模块的VHDL语言描述

  • 本文提出一种表决式单片机多机冗余设计方案。该方案不同于中央系统的多机冗余设计。大规模系统冗余大多采用完善而复杂的机间通讯协议实现系统重构,不太注重系统的实时性。本方案结构简单,易于实现,具有极强的实时
  • 关键字:语言描述VHDL模块设计控制单片机

基于CPLD多通道编码器数据采集系统设计

  • 本文提出了采用Xilinx公司生产的CPLD来对多通道旋转编码器进行数据采集的方法,着重介绍了系统的软硬件设计和驱动程序开发,以及实际应用情况,得出了用CPLD技术为多通道编码器进行数据采集这样一种切实可行的方法。
  • 关键字:CPLD多通道编码器数据采集

应用CPLD及EPP技术对CCD信号像素级的高速采集

  • 前言CCD(Charge Coupled Device),即电荷耦合器件,是20世纪70年代初发展起来的新型的固体成像器件,CCD芯片借助于必要的光学系统和合适的外围驱动与处理电路,可以将景物图像,通过输入面空域上逐点的光电信号转换、
  • 关键字:CPLDCCDEPP信号

基于Petri网的并行控制器的VHDL实现

  • Petri网是异步并发系统建模与分析的一种重要工具,1962年由德国科学家C.A.Petri博士创立。40多年来,Petri网理论得到了很大的丰富和发展,其应用领域也在不断扩大,越来越受到国际同行的重视,已成为计算机、自动化和
  • 关键字:VHDL实现控制器并行Petri基于

一种基于VHDL语言的全数字锁相环的实现

  •  随着集成电路技术的不断进步,数字化应用逐渐普及,在数字通信、电力系统自动化等方面越来越多地运用了数字锁相环。它的好处在于免去了模拟器件的繁琐,而且成本低、易实现、省资源。本文综合以上考虑,在一片FPGA
  • 关键字:VHDL全数字锁相环

中高压断路器在线监测装置的设计与实现

  • 为了对开关设备的重要参数能进行长期连续的监测,设计出一种采用DSP处理器加CPLD结构的在线监测系统,详细分析了行程信号采集、线圈电流信号采集、数据存储、通信和实时RTC等主要硬件模块的设计方案。系统实现了对高压断路器状态的在线监测和机械故障的诊断,为开关设备状态监测提供了一种可行的解决方案。
  • 关键字:DSPCPLD在线监测硬件设计

基于CPLD的水轮发电机组转速监控系统的设计

  • 摘 要:给出了采用CPLD的水轮发电机组转速监控系统的设计原理和VHDL的语言描述,该设计具有结构简单、成本低和抗干扰性能强等特点。1 概 述转速数据是水轮发电机组运行状况的重要标志之一。准确地测量机组的转速并
  • 关键字:CPLD水轮发电机组监控系统

利用CPLD设计逆变器的控制电路

  • 现代逆变器的控制电路多采用电机控制专用微处理器作为控制系统的核心,如INTEL公司的80C196MC,TI公司的TMS320F240等。随着逆变器性能的不断提高和功能的不断完善,当微处理器的片内资源无法满足要求时,就需要进行外
  • 关键字:CPLD逆变器控制电路

基于CPLD的串并转换和高速USB通信设计

  • 滤波和抗干扰是任何智能仪器系统都必须考虑的问题。在传统的应用系统中,滤波部分往往要占用较多的软件资源和硬件资源。复杂可编程逻辑器件(CPLD)的出现,为解决这一问题开辟了新的途径,采用CPLD实现滤波是一种高效
  • 关键字:CPLDUSB串并转换通信设计

基于CPLD和单片机的任意波形发生器设计

  • 引言在电子工程设计与测试中,常常需要一些复杂的、具有特殊要求的信号,要求其波形可任意产生,频率方便可调。通常的信号产生器难以满足要求,市场上出售的任意信号产生器价格昂贵。结合实际需要,我们设计了一种任
  • 关键字:CPLD单片机任意波形发生器
关于我们- 广告服务- 企业会员服务- 网站地图- 联系我们- 征稿- 友情链接- 手机EEPW
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司
备案京ICP备12027778号-2 北京市公安局备案:1101082052 京公网安备11010802012473