首页 资讯 商机 下载 拆解 高校 招聘 杂志 会展 EETV 百科 问答 电路图 工程师手册 Datasheet 100例 活动中心 E周刊阅读 样片申请
EEPW首页>> 主题列表>> 双口ram

基于面积的实现和基于速度的实现-两种YUV分离的FPGA的实现方式

  • 速度与面积的互换一直是基于FPGA设计中的一个不变的主题,在此介绍了两种YUV分离的FPGA的实现方式:基于面积的实现和基于速度的实现。前者仅用一片双口RAM串行,实现了YUV分离数据的输出;后者利用流水线的思想,基于两片双口RAM之间的乒乓操作,完成了模块的设计。通过Verilog HDL对两种方法进行了实现,并利用ModelSim完成了模块仿真。通过对比发现,二者各有优势:前者消耗硬件资源与面积较后者有很大改进;后者对提高整体系统实时性具有重大意义。因此,两种实现方式从两个角度为YUV的分离存储提供了
  • 关键字:YUV分离双口RAM乒乓操作流水

基于FPGA的PXA270外设时序转换接口设计方案

  • ARCNET协议应用于高速动车组列车通信网络时,产生中央控制单元处理器PXA270与专用协议控制器件COM20020相连的时序不匹配问题,采用FPGA连接PXA270处理器与外设以解决PXA270处理器与外设直接连接时的时序不匹配问题。
  • 关键字:pxa270时序匹配双口RAM

基于双口RAM核监测数字示波器设计研究

  • 摘要:在核监测中,常将各种传感器输出的信号通过A/D转换器转换为数字信号,然后利用数字信号处理技术对各种核信号进行数字处理。为了准确测量核信号数字波形的各种参数,对基于FPGA双口RAM的数字示波器进行了设计和
  • 关键字:核脉冲数字示波器数字波形FPGA双口RAM

基于PCI总线的四通道CAN通讯卡的设计

  • 摘要:为了完成核电现场I/O模块和控制站之间的数据传输,设计了一种基于PCI总线的四通道CAN通讯卡,每个CAN通道连接32个单通道I/O模块,每隔25 ms采集I/O模块的数据一次,该系统的数据采集总量为400个模拟量和112个
  • 关键字:PCI总线数据采集CAN通讯双口RAM

嵌入式LonWorks网络智能网关设计

  • 嵌入式LonWorks网络智能网关设计,摘要:为了解决LonWorks设备现场智能控制及远程监控的需要,提出了通过嵌入式网关实现LON网和以太网协议的转换方案。本网关采用电力线收发器PL3150和带有以太网控制器的微处理器LPC1778分别实现LonWorks网络和以太网
  • 关键字:LonWorks嵌入式网关Web服务ARMLwIP双口RAM

一种基于FPGA的在线监控系统设计

  •   在SoC系统的设计及使用过程中,对其内部行为的实时监控十分重要,目前普遍通过监控端和目标系统间的监控信息通信来实现,UART常用作通信信道。   目标SoC系统常使用中断方式或轮询方式获取监控通信数据包,对其解析并进行相应数据操作后回复应答信息。中断方式中SoC需完成保存中断现场、调用中断服务程序、恢复现场系列任务,上下文的切换占据了系统额外开销;轮询方式中,系统定时检查设备请求,若有数据到达则调用相应处理程序,固定的轮询周期增加了数据等待处理时间,数据量较小时频繁查询造成对CPU资源的浪费。  
  • 关键字:FPGA在线监控双口RAM

在DSP与ICCD通信系统中双口RAM的应用

  • 电子产品世界,为电子工程师提供全面的电子产品信息和行业解决方案,是电子工程师的技术中心和交流中心,是电子产品的市场中心,EEPW 20年的品牌历史,是电子工程师的网络家园
  • 关键字:双口RAMDSPICCD通信

CAN总线分布式系统适配卡和控制单元设计

单片机与PC机之间并行通讯的一种实现方法

  • 摘要:介绍了一种利用双口RAM IDT7132和PC机打印机接口实现单片机与PC机之间并行通讯的方法,该方法具有可节省 ...
  • 关键字:并行通讯双口RAM打印机接口

基于双口RAM的长距离双工并行接口实现

  • 本文设计了一种两个不同电压控制系统间长距离高速通信解决方案。该方案既满足了长距离和高速双工数据通信的要求,又降低了双总线系统互联的复杂度和系统成本,并具有较强的抗干扰性和实用性。
  • 关键字:双口RAM长距离差分并行通信双工通信200908

双口RAM在组合导航系统中的应用

  • 双口RAM在组合导航系统中的应用,导航系统是负责将载体从起始点引导到目的地的系统装置。近年来,随着计算机技术和卫星通信技术的发展和实际应用,使得导航系统的精确性和可靠性达到了更高的要求,促使导航系统向综合化和容错化方向发展,进而发展了
  • 关键字:系统应用导航组合RAM双口双口RAM TMS320VC33TMS320F240组合导航系统CY7C028

基于cyclone EP1C6的LED大屏设计方案

  • 目前采用的LED大屏幕显示系统的控制电路,大多由单个或多个CPU及复杂的外围电路组成,这种电路设计,单片机编程比较复杂,整个电路的调试比较麻烦,可靠性和实时性很难得到保证。针对这种情况,介绍一种基于cyclone
  • 关键字:设计方案大屏LEDcycloneEP1C6基于单片机FPGALED大屏幕双口RAM
共18条 1/212»

双口ram介绍

双口RAM 是在一个SRAM 存储器上具有两套完全独立的数据线、地址线和读写控制线,并允许两个独立的系统同时对该存储器进行随机性的访问。即共享式多端口存储器。   双口RAM最大的特点是存储数据共享。一个存储器配备两套独立的地址、数据和控制线,允许两个独立的CPU或控制器同时异步地访问存储单元。因为数据共享,就必须存在访问仲裁控制。内部仲裁逻辑控制提供以下功能:对同一地址单元访问的时序控制;存 [ 查看详细]

热门主题

关于我们- 广告服务- 企业会员服务- 网站地图- 联系我们- 征稿- 友情链接- 手机EEPW
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司
备案京ICP备12027778号-2 北京市公安局备案:1101082052 京公网安备11010802012473