%PDF-1.5 % 81 0 obj <> endobj xref 81 41 0000000016 00000 n 0000001701 00000 n 0000001807 00000 n 0000001995 00000 n 0000002201 00000 n 0000003222 00000 n 0000004243 00000 n 0000005258 00000 n 0000006278 00000 n 0000007410 00000 n 0000008425 00000 n 0000008711 00000 n 0000009740 00000 n 0000009805 00000 n 0000009869 00000 n 0000010047 00000 n 0000010216 00000 n 0000010309 00000 n 0000010478 00000 n 0000010667 00000 n 0000010848 00000 n 0000011027 00000 n 0000013068 00000 n 0000013133 00000 n 0000015014 00000 n 0000016387 00000 n 0000017557 00000 n 0000018846 00000 n 0000018942 00000 n 0000020124 00000 n 0000021363 00000 n 0000022500 00000 n 0000022597 00000 n 0000030147 00000 n 0000030385 00000 n 0000031886 00000 n 0000033409 00000 n 0000041064 00000 n 0000041290 00000 n 0000048583 00000 n 0000001116 00000 n trailer <<6A0567E29A13E340840FB8731BE537B4>]/Prev 417669>> startxref 0 %%EOF 121 0 obj <>stream hb```f``9 AX, =   1R9MW A~SL?,9Zuϋ: @c3 YϭVe|+4nXzl;nC ދ2v88}.˹ G3@3ĚKm6Yt^ɇǷa"e|t h0/1E)44-L JfiPEBJJJP ,.) (u +erB }&..` " P+YK%@Z ^Uo}hmPq LϪ'0IY$H̓cgDCt^ғk#rCGx=K 8e]xS+bR0E'|D c endstream endobj 82 0 obj <>>> endobj 83 0 obj <> endobj 84 0 obj <>/Font<>/ProcSet[/PDF/Text]>> endobj 85 0 obj <> endobj 86 0 obj <> endobj 87 0 obj <> endobj 88 0 obj <> endobj 89 0 obj <> endobj 90 0 obj <> endobj 91 0 obj <> endobj 92 0 obj <> endobj 93 0 obj <> endobj 94 0 obj <> endobj 95 0 obj <> endobj 96 0 obj <> endobj 97 0 obj <> endobj 98 0 obj <> endobj 99 0 obj <> endobj 100 0 obj <> endobj 101 0 obj <> endobj 102 0 obj <>stream HW]s~oYBm6K $!P"ihd{zFf7>}#|_ǣy0=җ=Zvnf>+)N?tØaYv޹Ї3t)]?ylWؔ3Z'K!)*RjޖO/<_HpˤPF3T2Gpo'eУ )@jrR/")kŧZok}DB+xEZ ^l4 }GD深 FM"5iBEVZz=/*|d986dM|"-;UuP0Fw(T-MzIlV4'ߦtKYtR"<˅Yz~hle?:M.fB^+hqsF0Fy@dqlLGfi8jTt-*R…L\ĥУdIZd\62O:Ap8mg@`v3zHO Fsn4ь5\$ɶN&:6ћDY09`]Qkt\d[m䉢 !=h)c 0lJ A =9*s3YU<4nD>R$DBs2QqrowMUgq{";P7@)M 9WSv`~P/I(|ADbqr;G! H$.L ݨMR|92U]m^185[ l_, uRS/c50ui 8x:f˛_浝mM {qPue> endobj 104 0 obj <>stream HW[[HH}@&. þrH2V˖LS-"@AB|qvگ{[ϿBZ/ IIőP"mh]-4Ͽ]n[]ſj-y"bZ_u[5zk|w"O( a0t' "QrMYE.ٲtգ2]C#x.Cln } \}S6s1kwۢvI} z=dqӽm-ڂ>~ ö/uV!Gۢ앃{ÿ)Ev~Z?{m2B(m3L{ʽoj'gu}9u\Bf<j`al%n:c MaSV٦cӢ6s;}@ "Hqd'G*r*$ՀTPK^9rMu˝s7W˻2I;(ӥm~_]]ίϑڍK?]3V ΐv Ef+*)oۭmyHM2>stream HWKn%7 g|gBrPr̎*VQFH8X dK Bt9ESTK+QXVw$c, EɸX Vqc U[ayW%b)dXeX|,*eT qRHu\o;)q'6DG qizr%Tf)`T99H TYGXViPkߜG aa #_wkqM 嫓T؟BHQlI$B`mH\`)dXťO@3 ߆T:!)Im89 Q ZBTatVh$A rڬ}2,, *+e⣀IX- @C.ƬuA5f=!, < \ XYB"RH7H#LRȃ$o0&a|}xi#vX҆T޵ț&>jU#cȭ6j#1+aqxɊ}wEGvXܻY> DH`-GY~Ňu!ݷ2…eu=ݯ?{/a YfBan\?mg_ޯj*Q1{Vun7UC6AOT`zpf$O2<сc*y>V}+C}Nt*p\2e͇aE+OApTֈ -u͢oESe㗜0k5nh{PGUj .׀>J@nb3"Hq : )~Fd20 3$b!Diu97X**W>\Yk_Գ9V3G?n a 8/%=?ΛsYn &f qⵣ)7iI(GJǞ4 uZv# 2{[sE~BR"x; S:}p"A=[u@|IId2.5j=@nHrQT `a > [˵+1 "<6b‚~8 Z݋xru_=sJU#ͥαyR Q)}#!nV(3WsV endstream endobj 106 0 obj <>stream HWKn%7 @~-JSpb mޭ ){6NYiY~.n}!7aߑa؟)pzݘ(fjz6bɆk_' j0 J0A:G08a!מO8\)Ph[M~6, ( &h˱' BrA8a19J!yl1`1Wʩ`b& tX!_AJ\BpBBb_K5@9-%bkπk"R]mRFł[vd TL]`9%6SI (A=&B+ >~DaO 6+]e k~P1ȉzP hXH.t ̕/GrSk cZZ ) |i] U֨^{B 8cyp 6%3s~y08sy`žzÀEmvX** Ƿ̓79w07 5l W[Sx:;t,Hde>stream HWK1 B+r) t[(R \'Wd.F-u:Y,0Hbbkhz BEg.J _IG27#Tj"ֺ2BZbx`1|a(؊`j̘kL!ᵏ.;C_lP/ǂYD%G8lkM_9$:ױJաEo8y[fFH>oٺ`">H{Ќ $Cf, >QoC2bkX\M>'T vWWa? 4gXI$u <:&L XhUuTr} 2u>;bM|7|ء%%X셌[]/%Y4A4NRy:%W%4,%99'.}`.9?+Y(d3d(4UFo-,@2c^"B4E 0@LaHuؑ 1~ /vv#FL45x # U!r2l}|@LxSt%qέxEnD -Hz#IvLE0lׯ4¢(4P&<JEFrD6y W׭&b> endobj 109 0 obj <> endobj 110 0 obj <>stream H[$) EW{{B ˘54_Q޿46&jJj_$sTD]dJFjB#` ፘ'\fQaA*UCs&K$[i 3HiWz~lZo92 :V=Nyv4h&Oo}gP{՘ (:P`{݅zH1^^ }oXIBbUGNdȰ`_͢*d*-F[i v+I =@˾pۆKFLC=mM4e\^mBu^dWa>BT Y-`2PZYՇ$ 7|(b7ߚ,QEU?|9c=_}]IrNhN!W;9pp$W?iV'W>&U?1Oiw/eNN H -U{eK>7t_[F#+Qee*T} kBa[i 3HW<1(pI?G}3b ~3^ɯK{~3~QS0ab͠C$LU(xCO cC.#0|BB:*a5G1bd9j v3VɯإK{~#ӁC~}m,w4@߽Z[P[bjj宍,tR|favd>(x rg'3uF>6r3c b3Bk8a 3PR2y FhBu~|H/N#05mBFx+HɲUiM-dd 6Bv/rч5 ~?vN2B3 +j~u )1@)a&;tfB0\ܚzӛK˸t&G?.Θe% BH} M3ahd}gs4Yy_ifkng夕Xxv7,91y\xpᢦюվɻ6hR endstream endobj 111 0 obj <> endobj 112 0 obj <> endobj 113 0 obj <>stream %!FontType1-1.0: FOGHLF+HelveticaOvBars-Bold 1 13 dict begin /FontName /FOGHLF+HelveticaOvBars-Bold def /FontType 1 def /FontBBox {-170 -228 1003 962} readonly def /FontMatrix [0.001 0 0 0.001 0 0] readonly def /PaintType 0 def /FontInfo 12 dict dup begin /version (001.000) readonly def /Notice (Copyright (c) 1985, 1987, 1989, 1990 Adobe Systems Incorporated. All Rights Reserved.Helvetica is a trademark of Linotype AG and/or its subsidiaries.) readonly def /FullName (HelveticaOvBars-Bold) readonly def /FamilyName (HelveticaOvBars) readonly def /ItalicAngle 0 def /isFixedPitch false def /UnderlinePosition -100 def /UnderlineThickness 50 def /Weight (Bold) def /BaseFontName (HelveticaOvBars-Bold) def end def /Encoding 256 array 0 1 255 {1 index exch /.notdef put} for dup 0 /NUL put dup 1 /Eth put dup 2 /eth put dup 3 /Lslash put dup 4 /lslash put dup 5 /Scaron put dup 6 /scaron put dup 7 /Yacute put dup 8 /yacute put dup 9 /HT put dup 10 /LF put dup 11 /Thorn put dup 12 /thorn put dup 13 /CR put dup 14 /Zcaron put dup 15 /zcaron put dup 16 /DLE put dup 17 /DC1 put dup 18 /DC2 put dup 19 /DC3 put dup 20 /DC4 put dup 21 /onehalf put dup 22 /onequarter put dup 23 /onesuperior put dup 24 /threequarters put dup 25 /threesuperior put dup 26 /twosuperior put dup 27 /brokenbar put dup 28 /minus put dup 29 /multiply put dup 30 /RS put dup 31 /US put dup 32 /space put dup 33 /exclam put dup 34 /quotedbl put dup 35 /numbersign put dup 36 /dollar put dup 37 /percent put dup 38 /ampersand put dup 39 /quotesingle put dup 40 /parenleft put dup 41 /parenright put dup 42 /asterisk put dup 43 /plus put dup 44 /comma put dup 45 /hyphen put dup 46 /period put dup 47 /slash put dup 48 /zero put dup 49 /one put dup 50 /two put dup 51 /three put dup 52 /four put dup 53 /five put dup 54 /six put dup 55 /seven put dup 56 /eight put dup 57 /nine put dup 58 /colon put dup 59 /semicolon put dup 60 /less put dup 61 /equal put dup 62 /greater put dup 63 /question put dup 64 /at put dup 65 /A put dup 66 /B put dup 67 /C put dup 68 /D put dup 69 /E put dup 70 /F put dup 71 /G put dup 72 /H put dup 73 /I put dup 74 /J put dup 75 /K put dup 76 /L put dup 77 /M put dup 78 /N put dup 79 /O put dup 80 /P put dup 81 /Q put dup 82 /R put dup 83 /S put dup 84 /T put dup 85 /U put dup 86 /V put dup 87 /W put dup 88 /X put dup 89 /Y put dup 90 /Z put dup 91 /bracketleft put dup 92 /backslash put dup 93 /bracketright put dup 94 /asciicircum put dup 95 /underscore put dup 96 /grave put dup 97 /a put dup 98 /b put dup 99 /c put dup 100 /d put dup 101 /e put dup 102 /f put dup 103 /g put dup 104 /h put dup 105 /i put dup 106 /j put dup 107 /k put dup 108 /l put dup 109 /m put dup 110 /n put dup 111 /o put dup 112 /p put dup 113 /q put dup 114 /r put dup 115 /s put dup 116 /t put dup 117 /u put dup 118 /v put dup 119 /w put dup 120 /x put dup 121 /y put dup 122 /z put dup 123 /braceleft put dup 124 /bar put dup 125 /braceright put dup 126 /asciitilde put dup 127 /DEL put dup 128 /Adieresis put dup 129 /Aring put dup 130 /Ccedilla put dup 131 /Eacute put dup 132 /Ntilde put dup 133 /Odieresis put dup 134 /Udieresis put dup 135 /aacute put dup 136 /agrave put dup 137 /acircumflex put dup 138 /adieresis put dup 139 /atilde put dup 140 /aring put dup 141 /ccedilla put dup 142 /eacute put dup 143 /egrave put dup 144 /ecircumflex put dup 145 /edieresis put dup 146 /iacute put dup 147 /igrave put dup 148 /icircumflex put dup 149 /idieresis put dup 150 /ntilde put dup 151 /oacute put dup 152 /ograve put dup 153 /ocircumflex put dup 154 /odieresis put dup 155 /otilde put dup 156 /uacute put dup 157 /ugrave put dup 158 /ucircumflex put dup 159 /udieresis put dup 160 /dagger put dup 161 /degree put dup 162 /cent put dup 163 /sterling put dup 164 /section put dup 165 /bullet put dup 166 /paragraph put dup 167 /germandbls put dup 168 /registered put dup 169 /copyright put dup 170 /trademark put dup 171 /acute put dup 172 /dieresis put dup 173 /notequal put dup 174 /AE put dup 175 /Oslash put dup 176 /infinity put dup 177 /plusminus put dup 178 /lessequal put dup 179 /greaterequal put dup 180 /yen put dup 181 /mu put dup 182 /partialdiff put dup 183 /summation put dup 184 /product put dup 185 /pi put dup 186 /integral put dup 187 /ordfeminine put dup 188 /ordmasculine put dup 189 /Omega put dup 190 /ae put dup 191 /oslash put dup 192 /questiondown put dup 193 /exclamdown put dup 194 /logicalnot put dup 195 /radical put dup 196 /florin put dup 197 /approxequal put dup 198 /Delta put dup 199 /guillemotleft put dup 200 /guillemotright put dup 201 /ellipsis put dup 202 /nbspace put dup 203 /Agrave put dup 204 /Atilde put dup 205 /Otilde put dup 206 /OE put dup 207 /oe put dup 208 /endash put dup 209 /emdash put dup 210 /quotedblleft put dup 211 /quotedblright put dup 212 /quoteleft put dup 213 /quoteright put dup 214 /divide put dup 215 /lozenge put dup 216 /ydieresis put dup 217 /Ydieresis put dup 218 /fraction put dup 219 /currency put dup 220 /guilsinglleft put dup 221 /guilsinglright put dup 222 /fi put dup 223 /fl put dup 224 /daggerdbl put dup 225 /periodcentered put dup 226 /quotesinglbase put dup 227 /quotedblbase put dup 228 /perthousand put dup 229 /Acircumflex put dup 230 /Ecircumflex put dup 231 /Aacute put dup 232 /Edieresis put dup 233 /Egrave put dup 234 /Iacute put dup 235 /Icircumflex put dup 236 /Idieresis put dup 237 /Igrave put dup 238 /Oacute put dup 239 /Ocircumflex put dup 240 /apple put dup 241 /Ograve put dup 242 /Uacute put dup 243 /Ucircumflex put dup 244 /Ugrave put dup 245 /dotlessi put dup 246 /circumflex put dup 247 /tilde put dup 248 /macron put dup 249 /breve put dup 250 /dotaccent put dup 251 /ring put dup 252 /cedilla put dup 253 /hungarumlaut put dup 254 /ogonek put dup 255 /caron put readonly def currentdict end currentfile eexec dgX50Ȇ9F)mX8&~H<Y52ق*>6Cȫ/fUTSgϝ` Ph]mry{ @|T3bB ǖMeRyGD.s䉣X 68/%J_G+9¹-;8f BD-G86eڍȞ ӭ XKs0g3X>,%ӫlu99qRM/ d#'qs[ W24RuoI(x)#):PU9;=ϤPZFoMbJJkY~rPk%w{o8MyI)g̘X5ܬDD2^ qw_,coq1S-o!zȿDg&3Və|A3q|TTֽX&l#%q=WD<^dkq݀$U9\*@쓃lt9`HJ@NY(`7bL*ݬʛoȆe}նG &֍@.5VԻ<9HB!KkM]SK /1Lr;}F1J}aD qZ Nӱ=Y!?G{:~cD}pvՑ¦H0(kAô9c)cq<($0ud\~b.i8+Ɯb*!6rGnzA0r8Yv1] <G>AUrmSq׮nr6 6$ xdBjsfQpw@ti:z?)LnMjséJQim\Caƚ؁qAp Fӗ gG%ߛ'ldD>7lXuj4p3b} 0#t! h%]WߑjyA|{\ܿx}~(<',b[Kqv8B2H3t]%]: 6p"[jjm?h|X97T Z,;nnSt=Ɔ^ #U0ALN3d0ufw/5ډ{ B O`^>ku.U% !EK1tz\1,@mX$(7b endstream endobj 114 0 obj <> endobj 115 0 obj <>stream HWn[7\-DX*u%պv@"ǐr"yq1tEˌ]Jp?^#}\uEJ:ujaTR@P:)1"e@W;ĕ õ+#bfH-ytFP/[~;((4%h 2AdJ)*Y Ԝ -x K]׎E_ڜ XT#<*;'[=]˛+.0T/B+UYQ+an?*$N+KR_&Mۻd~ tުs_SZgwhLџN^nλ?w9Dؼ?ܥCL‘Qa.6Dvhu Cƛv˸ܮPU1N!ӧyWp':zv761'M>{Otn6G]kN}OpRQwMʋuq.%Jhna?6\0 G[=P\_hw-TtZu7s3D̓kK|XR/E* a:J-lښfsPA7jqT\Ֆ[+Q`dcj 5*Iq\~^Q:O-,d[{{+cQ endstream endobj 116 0 obj <>stream HWr7-#nS`!P,!E\ s^dž)/:obR{D'J2 ŠI$$3pXI~=[Vٵf?_lĨ"!`|t>stream %!FontType1-1.0: FOGBCC+PlantinOverbar 1 13 dict begin /FontName /FOGBCC+PlantinOverbar def /FontType 1 def /FontBBox {-132 -216 1052 908} readonly def /FontMatrix [0.001 0 0 0.001 0 0] readonly def /PaintType 0 def /FontInfo 12 dict dup begin /version (001.000) readonly def /Notice (Copyright (c) 1990 Adobe Systems Incorporated. All Rights Reserved.Plantin is a trademark of The Monotype Corporation Plc.) readonly def /FullName (PlantinOverbar) readonly def /FamilyName (PlantinOverbar) readonly def /ItalicAngle 0 def /isFixedPitch false def /UnderlinePosition 850 def /UnderlineThickness 50 def /Weight (Medium) def /BaseFontName (PlantinOverbar) def end def /Encoding 256 array 0 1 255 {1 index exch /.notdef put} for dup 0 /NUL put dup 1 /Eth put dup 2 /eth put dup 3 /Lslash put dup 4 /lslash put dup 5 /Scaron put dup 6 /scaron put dup 7 /Yacute put dup 8 /yacute put dup 9 /HT put dup 10 /LF put dup 11 /Thorn put dup 12 /thorn put dup 13 /CR put dup 14 /Zcaron put dup 15 /zcaron put dup 16 /DLE put dup 17 /DC1 put dup 18 /DC2 put dup 19 /DC3 put dup 20 /DC4 put dup 21 /onehalf put dup 22 /onequarter put dup 23 /onesuperior put dup 24 /threequarters put dup 25 /threesuperior put dup 26 /twosuperior put dup 27 /brokenbar put dup 28 /minus put dup 29 /multiply put dup 30 /RS put dup 31 /US put dup 32 /space put dup 33 /exclam put dup 34 /quotedbl put dup 35 /numbersign put dup 36 /dollar put dup 37 /percent put dup 38 /ampersand put dup 39 /quotesingle put dup 40 /parenleft put dup 41 /parenright put dup 42 /asterisk put dup 43 /plus put dup 44 /comma put dup 45 /hyphen put dup 46 /period put dup 47 /slash put dup 48 /zero put dup 49 /one put dup 50 /two put dup 51 /three put dup 52 /four put dup 53 /five put dup 54 /six put dup 55 /seven put dup 56 /eight put dup 57 /nine put dup 58 /colon put dup 59 /semicolon put dup 60 /less put dup 61 /equal put dup 62 /greater put dup 63 /question put dup 64 /at put dup 65 /A put dup 66 /B put dup 67 /C put dup 68 /D put dup 69 /E put dup 70 /F put dup 71 /G put dup 72 /H put dup 73 /I put dup 74 /J put dup 75 /K put dup 76 /L put dup 77 /M put dup 78 /N put dup 79 /O put dup 80 /P put dup 81 /Q put dup 82 /R put dup 83 /S put dup 84 /T put dup 85 /U put dup 86 /V put dup 87 /W put dup 88 /X put dup 89 /Y put dup 90 /Z put dup 91 /bracketleft put dup 92 /backslash put dup 93 /bracketright put dup 94 /asciicircum put dup 95 /underscore put dup 96 /grave put dup 97 /a put dup 98 /b put dup 99 /c put dup 100 /d put dup 101 /e put dup 102 /f put dup 103 /g put dup 104 /h put dup 105 /i put dup 106 /j put dup 107 /k put dup 108 /l put dup 109 /m put dup 110 /n put dup 111 /o put dup 112 /p put dup 113 /q put dup 114 /r put dup 115 /s put dup 116 /t put dup 117 /u put dup 118 /v put dup 119 /w put dup 120 /x put dup 121 /y put dup 122 /z put dup 123 /braceleft put dup 124 /bar put dup 125 /braceright put dup 126 /asciitilde put dup 127 /DEL put dup 128 /Adieresis put dup 129 /Aring put dup 130 /Ccedilla put dup 131 /Eacute put dup 132 /Ntilde put dup 133 /Odieresis put dup 134 /Udieresis put dup 135 /aacute put dup 136 /agrave put dup 137 /acircumflex put dup 138 /adieresis put dup 139 /atilde put dup 140 /aring put dup 141 /ccedilla put dup 142 /eacute put dup 143 /egrave put dup 144 /ecircumflex put dup 145 /edieresis put dup 146 /iacute put dup 147 /igrave put dup 148 /icircumflex put dup 149 /idieresis put dup 150 /ntilde put dup 151 /oacute put dup 152 /ograve put dup 153 /ocircumflex put dup 154 /odieresis put dup 155 /otilde put dup 156 /uacute put dup 157 /ugrave put dup 158 /ucircumflex put dup 159 /udieresis put dup 160 /dagger put dup 161 /degree put dup 162 /cent put dup 163 /sterling put dup 164 /section put dup 165 /bullet put dup 166 /paragraph put dup 167 /germandbls put dup 168 /registered put dup 169 /copyright put dup 170 /trademark put dup 171 /acute put dup 172 /dieresis put dup 173 /notequal put dup 174 /AE put dup 175 /Oslash put dup 176 /infinity put dup 177 /plusminus put dup 178 /lessequal put dup 179 /greaterequal put dup 180 /yen put dup 181 /mu put dup 182 /partialdiff put dup 183 /summation put dup 184 /product put dup 185 /pi put dup 186 /integral put dup 187 /ordfeminine put dup 188 /ordmasculine put dup 189 /Omega put dup 190 /ae put dup 191 /oslash put dup 192 /questiondown put dup 193 /exclamdown put dup 194 /logicalnot put dup 195 /radical put dup 196 /florin put dup 197 /approxequal put dup 198 /Delta put dup 199 /guillemotleft put dup 200 /guillemotright put dup 201 /ellipsis put dup 202 /nbspace put dup 203 /Agrave put dup 204 /Atilde put dup 205 /Otilde put dup 206 /OE put dup 207 /oe put dup 208 /endash put dup 209 /emdash put dup 210 /quotedblleft put dup 211 /quotedblright put dup 212 /quoteleft put dup 213 /quoteright put dup 214 /divide put dup 215 /lozenge put dup 216 /ydieresis put dup 217 /Ydieresis put dup 218 /fraction put dup 219 /currency put dup 220 /guilsinglleft put dup 221 /guilsinglright put dup 222 /fi put dup 223 /fl put dup 224 /daggerdbl put dup 225 /periodcentered put dup 226 /quotesinglbase put dup 227 /quotedblbase put dup 228 /perthousand put dup 229 /Acircumflex put dup 230 /Ecircumflex put dup 231 /Aacute put dup 232 /Edieresis put dup 233 /Egrave put dup 234 /Iacute put dup 235 /Icircumflex put dup 236 /Idieresis put dup 237 /Igrave put dup 238 /Oacute put dup 239 /Ocircumflex put dup 240 /apple put dup 241 /Ograve put dup 242 /Uacute put dup 243 /Ucircumflex put dup 244 /Ugrave put dup 245 /dotlessi put dup 246 /circumflex put dup 247 /tilde put dup 248 /macron put dup 249 /breve put dup 250 /dotaccent put dup 251 /ring put dup 252 /cedilla put dup 253 /hungarumlaut put dup 254 /ogonek put dup 255 /caron put readonly def currentdict end currentfile eexec QUd~-S35Ngw:9&Mi𑨘h퍛-ro]aܕiިшQnmi`>@5?F K oWQK>'^ g~KInȗ/sR~X10ȔuJoHŨ;'d9g=/|=CE$U̷[FJQ;- LoɱӐNB=Vr8ܕVyh_W[gm>%[W{tݟqq\e*_Sz4Y7i.񘧆u75K{r LRCQjro~&zo. 7kiEX#=IT[t]O;'~B:k4 A @l c~rX3THlZ&BkUz<@HZد@XnhUa-6T2Q]7ny,] s+A!ir,@𕅺ֲ87.U6W~H׶;r$8g9*}L<u:q49f0bM-y\a4۔g.ޮ߉\L1;#&thAkg8 g G. wZ%^nc{Zǜ'BE끝, +I^ ,[^IPr?7Gb验dxSFw-z";O__cBWPhQ>ljۖ 9X/݈P8sXX{#vlmj݂$c/f) Sӑ4z*GN? CIø$qwNzdO]WQ ESI j0)o {U2;yIXflԡ:#2Rټ /UKXeErk2'Z} pr6A&sٖjX)lSsx9da6EHZU[8mzeg0%gX 77X :J)DWe$f7uwyjyvgz3p^fw/vPf.L vX- a=ԛVw N gW*&w !=Ε-N*i tjdݣ&ՇZu { rW(g6myH}VĭnHd{r\Xy.Ay _<;~9Xih}t\IH!B Da.{g<)k飃ZȤ+`vX4~"҈H#: Wu*!I0,jĈؒ%KEto&{=:Oq7(X b[ex endstream endobj 118 0 obj <> endobj 119 0 obj <>stream %!FontType1-1.0: FOFBJD+ADILogo 1 13 dict begin /FontName /FOFBJD+ADILogo def /FontType 1 def /FontBBox {0 -165 3373 790} readonly def /FontMatrix [0.001 0 0 0.001 0 0] readonly def /PaintType 0 def /FontInfo 12 dict dup begin /version (001.000) readonly def /Notice () readonly def /FullName (ADILogo) readonly def /FamilyName (ADILogo) readonly def /ItalicAngle 0 def /isFixedPitch false def /UnderlinePosition -133 def /UnderlineThickness 20 def /Weight (Medium) def /BaseFontName (ADILogo) def end def /Encoding 256 array 0 1 255 {1 index exch /.notdef put} for dup 0 /NUL put dup 1 /Eth put dup 2 /eth put dup 3 /Lslash put dup 4 /lslash put dup 5 /Scaron put dup 6 /scaron put dup 7 /Yacute put dup 8 /yacute put dup 9 /HT put dup 10 /LF put dup 11 /Thorn put dup 12 /thorn put dup 13 /CR put dup 14 /Zcaron put dup 15 /zcaron put dup 16 /DLE put dup 17 /DC1 put dup 18 /DC2 put dup 19 /DC3 put dup 20 /DC4 put dup 21 /onehalf put dup 22 /onequarter put dup 23 /onesuperior put dup 24 /threequarters put dup 25 /threesuperior put dup 26 /twosuperior put dup 27 /brokenbar put dup 28 /minus put dup 29 /multiply put dup 30 /RS put dup 31 /US put dup 32 /space put dup 33 /exclam put dup 34 /quotedbl put dup 35 /numbersign put dup 36 /dollar put dup 37 /percent put dup 38 /ampersand put dup 39 /quotesingle put dup 40 /parenleft put dup 41 /parenright put dup 42 /asterisk put dup 43 /plus put dup 44 /comma put dup 45 /hyphen put dup 46 /period put dup 47 /slash put dup 48 /zero put dup 49 /one put dup 50 /two put dup 51 /three put dup 52 /four put dup 53 /five put dup 54 /six put dup 55 /seven put dup 56 /eight put dup 57 /nine put dup 58 /colon put dup 59 /semicolon put dup 60 /less put dup 61 /equal put dup 62 /greater put dup 63 /question put dup 64 /at put dup 65 /A put dup 66 /B put dup 67 /C put dup 68 /D put dup 69 /E put dup 70 /F put dup 71 /G put dup 72 /H put dup 73 /I put dup 74 /J put dup 75 /K put dup 76 /L put dup 77 /M put dup 78 /N put dup 79 /O put dup 80 /P put dup 81 /Q put dup 82 /R put dup 83 /S put dup 84 /T put dup 85 /U put dup 86 /V put dup 87 /W put dup 88 /X put dup 89 /Y put dup 90 /Z put dup 91 /bracketleft put dup 92 /backslash put dup 93 /bracketright put dup 94 /asciicircum put dup 95 /underscore put dup 96 /grave put dup 97 /a put dup 98 /b put dup 99 /c put dup 100 /d put dup 101 /e put dup 102 /f put dup 103 /g put dup 104 /h put dup 105 /i put dup 106 /j put dup 107 /k put dup 108 /l put dup 109 /m put dup 110 /n put dup 111 /o put dup 112 /p put dup 113 /q put dup 114 /r put dup 115 /s put dup 116 /t put dup 117 /u put dup 118 /v put dup 119 /w put dup 120 /x put dup 121 /y put dup 122 /z put dup 123 /braceleft put dup 124 /bar put dup 125 /braceright put dup 126 /asciitilde put dup 127 /DEL put dup 128 /Adieresis put dup 129 /Aring put dup 130 /Ccedilla put dup 131 /Eacute put dup 132 /Ntilde put dup 133 /Odieresis put dup 134 /Udieresis put dup 135 /aacute put dup 136 /agrave put dup 137 /acircumflex put dup 138 /adieresis put dup 139 /atilde put dup 140 /aring put dup 141 /ccedilla put dup 142 /eacute put dup 143 /egrave put dup 144 /ecircumflex put dup 145 /edieresis put dup 146 /iacute put dup 147 /igrave put dup 148 /icircumflex put dup 149 /idieresis put dup 150 /ntilde put dup 151 /oacute put dup 152 /ograve put dup 153 /ocircumflex put dup 154 /odieresis put dup 155 /otilde put dup 156 /uacute put dup 157 /ugrave put dup 158 /ucircumflex put dup 159 /udieresis put dup 160 /dagger put dup 161 /degree put dup 162 /cent put dup 163 /sterling put dup 164 /section put dup 165 /bullet put dup 166 /paragraph put dup 167 /germandbls put dup 168 /registered put dup 169 /copyright put dup 170 /trademark put dup 171 /acute put dup 172 /dieresis put dup 173 /notequal put dup 174 /AE put dup 175 /Oslash put dup 176 /infinity put dup 177 /plusminus put dup 178 /lessequal put dup 179 /greaterequal put dup 180 /yen put dup 181 /mu put dup 182 /partialdiff put dup 183 /summation put dup 184 /product put dup 185 /pi put dup 186 /integral put dup 187 /ordfeminine put dup 188 /ordmasculine put dup 189 /Omega put dup 190 /ae put dup 191 /oslash put dup 192 /questiondown put dup 193 /exclamdown put dup 194 /logicalnot put dup 195 /radical put dup 196 /florin put dup 197 /approxequal put dup 198 /Delta put dup 199 /guillemotleft put dup 200 /guillemotright put dup 201 /ellipsis put dup 202 /nbspace put dup 203 /Agrave put dup 204 /Atilde put dup 205 /Otilde put dup 206 /OE put dup 207 /oe put dup 208 /endash put dup 209 /emdash put dup 210 /quotedblleft put dup 211 /quotedblright put dup 212 /quoteleft put dup 213 /quoteright put dup 214 /divide put dup 215 /lozenge put dup 216 /ydieresis put dup 217 /Ydieresis put dup 218 /fraction put dup 219 /currency put dup 220 /guilsinglleft put dup 221 /guilsinglright put dup 222 /fi put dup 223 /fl put dup 224 /daggerdbl put dup 225 /periodcentered put dup 226 /quotesinglbase put dup 227 /quotedblbase put dup 228 /perthousand put dup 229 /Acircumflex put dup 230 /Ecircumflex put dup 231 /Aacute put dup 232 /Edieresis put dup 233 /Egrave put dup 234 /Iacute put dup 235 /Icircumflex put dup 236 /Idieresis put dup 237 /Igrave put dup 238 /Oacute put dup 239 /Ocircumflex put dup 240 /apple put dup 241 /Ograve put dup 242 /Uacute put dup 243 /Ucircumflex put dup 244 /Ugrave put dup 245 /dotlessi put dup 246 /circumflex put dup 247 /tilde put dup 248 /macron put dup 249 /breve put dup 250 /dotaccent put dup 251 /ring put dup 252 /cedilla put dup 253 /hungarumlaut put dup 254 /ogonek put dup 255 /caron put readonly def currentdict end currentfile eexec TGq˓~4 0\%৙QTؕnj*/\Gf41c&H S BV5W+HFoo?}/D:/-ׂ1¨-@:(n={Dqdp qXWQ?sz8䊖![[TH J {A9x q"o̍cfٸ͆=t:3{s"!?rTy(.)? 1oUo!{?b|oT~>g:SX0HWQQ~: ЗL|P]  D~*,A{˻B-5$-VR79m%(@'0R~}+e-2&9 H'ׅktZr15(8=X RȠ='$?xY QvT]Ad][$;_FqOKL F^j4OR6ǂ^rj`GD ԚHpb!ZuBDɚCCGS4EJ__45 ^>K1*B xx.BkCjZ61ΰ,4qy-Re}:?~^+E=I7/ϸ .8pW#MK(%3M#gQvD7| یiDd5bஅep+Ν(DAk7 o!9[L4^eab^Fsiӛ?|qݨ z')z&ؓG$Lw{rݑ_u w.-\왺OEdh5 +.Poɵe2Ez*f7Yg6eC&pHdVvW<=]Ԡ5Pr||(BU,sm^5:"yC-AW_I_@zeF~Ex\fQh{L{!hp:*  \s{фpP4W;TE&oKc>첻 ;pĘHb!dߗjݸk?A2 ߥh$qQ!J NwJ(_vB1ڬzUǷ}gnB& {.jS$A+$BPC?*+}fpH" ll/2E 3_d(WW4FE\SkkøVq|N|OfWuLAy1W!5"HH;g! { ?֘hD}8%/.@VTu9ֆYH8+ |IgڕBn lJ2+jy f'UYl g,!#hL ¹/&T.3tzbJ endstream endobj 120 0 obj <> endobj 1 0 obj <> endobj 2 0 obj <>/Font<>/ProcSet[/PDF/Text]>> endobj 3 0 obj <>stream BT /F8 1 Tf 23.05 0 0 24 36 733.32 Tm 0 0 0 1 k BX /GS2 gs EX -0.018 Tc [(AD2S80A\320SPECIFICA)43(TIONS)]TJ ET 0 0 0 1 K 0 J 0 j 0.48 w 10 M []0 d BX /GS1 gs EX 1 i 36 724.8 m 558 724.8 l S BT /F12 1 Tf 7.9 0 0 8.5 405 714 Tm BX /GS2 gs EX 0 Tc (AD2S80A)Tj ET BX /GS1 gs EX 36 698.76 m 558 698.76 l S BT 7.9 0 0 8.5 36 704.52 Tm BX /GS2 gs EX [(Parameter)-17578(Conditions)-11395(Min)-5248(Typ)-3799(Max)-5849(Units)]TJ /F14 1 Tf 0 -2.019 TD 0.009 Tc 0.014 Tw (SIGNAL INPUTS)Tj 1.139 -1.115 TD 0.01 Tc (Frequency)Tj 38.354 0 TD 0.009 Tc [(50)-11789(20,000)-4865(Hz)]TJ -38.354 -1.115 TD (Voltage Level)Tj 38.354 0 TD 0.01 Tc 0.004 Tw [(1.8)-5788(2.0)-4269(2.2)-6547(V rms)]TJ -38.354 -1.129 TD 0.009 Tc 0.007 Tw (Input Bias Current)Tj 45.57 0 TD 0.01 Tc [(60)-4573(150)-6288(nA)]TJ -45.57 -1.115 TD 0.009 Tc 0.015 Tw [(Input Impedance)-30679(1.0)-19460(M)]TJ /F21 1 Tf 60.258 0 TD (W)Tj ET BX /GS1 gs EX 36 634.08 m 558 634.08 l S BT /F14 1 Tf 7.9 0 0 8.5 45 639.84 Tm BX /GS2 gs EX 0.012 Tw (Maximum Voltage)Tj 51.266 0 TD 7.423 Tc -7.41 Tw [(8V)7414( p)7414(k)]TJ -52.405 -1.92 TD 0.009 Tc 0.015 Tw (REFERENCE INPUT)Tj 1.139 -1.115 TD 0.01 Tc (Frequency)Tj 38.354 0 TD 0.009 Tc [(50)-11789(20,000)-4865(Hz)]TJ -38.354 -1.129 TD (Voltage Level)Tj 38.354 0 TD 0.01 Tc 0.003 Tw [(1.0)-11484(8.0)-6547(V pk)]TJ -38.354 -1.115 TD 0.009 Tc 0.007 Tw (Input Bias Current)Tj 45.57 0 TD 0.01 Tc [(60)-4573(150)-6288(nA)]TJ ET BX /GS1 gs EX 36 579.72 m 558 579.72 l S BT 7.9 0 0 8.5 45 585.48 Tm BX /GS2 gs EX 0.009 Tc 0.015 Tw [(Input Impedance)-30679(1.0)-19460(M)]TJ /F21 1 Tf 60.258 0 TD (W)Tj /F14 1 Tf -61.397 -1.92 TD 0.016 Tw (CONTROL DYNAMICS)Tj 1.139 -1.115 TD 0.01 Tc (Repeatability)Tj 51.266 0 TD [(1)-7413(LSB)]TJ -51.266 -1.115 TD 0.009 Tc 0.011 Tw [(Allowable Phase Shift)-11958(\(Signals to Reference\))-6883(\32010)-11276(+10)-6175(Degrees)]TJ 0 -1.129 TD 0.01 Tc 0.008 Tw [(Tracking Rate)-15303(10 Bits)-26479(1040)-5725(rps)]TJ 21.646 -1.115 TD 0.007 Tw [(12 Bits)-26479(260)-6288(rps)]TJ T* [(14 Bits)-26479(65)-6851(rps)]TJ T* 0.009 Tc 0.008 Tw [(16 Bits)-26480(16.25)-5429(rps)]TJ ET BX /GS1 gs EX 36 496.92 m 558 496.92 l S BT 7.9 0 0 8.5 45 502.68 Tm BX /GS2 gs EX 0.01 Tc (Bandwidth)Tj 5.3 0 0 5.7 83.52 505.92 Tm (1)Tj 7.9 0 0 8.5 216 502.68 Tm 0.009 Tc 0.015 Tw (User Selectable)Tj -22.785 -1.934 TD 0.01 Tc (ACCURACY)Tj 1.139 -1.115 TD 0.009 Tc 0.002 Tw [(Angular )-15(Accuracy)-13686(A, J, S)]TJ /F22 1 Tf 51.266 0 TD (6)Tj /F12 1 Tf 0.835 0 TD 0.004 Tw (8 +1 LSB)Tj /F14 1 Tf 7.139 0 TD 0.008 Tw (arc min)Tj -37.595 -1.115 TD 0.002 Tw (B, K, T)Tj /F22 1 Tf 29.62 0 TD (6)Tj /F12 1 Tf 0.835 0 TD 0.004 Tw (4 +1 LSB)Tj /F14 1 Tf 7.139 0 TD 0.008 Tw (arc min)Tj -37.595 -1.115 TD 0 Tw (L, U)Tj /F22 1 Tf 29.62 0 TD (6)Tj /F12 1 Tf 0.835 0 TD 0.004 Tw (2 +1 LSB)Tj /F14 1 Tf 7.139 0 TD 0.008 Tw (arc min)Tj -59.241 -1.115 TD 0.016 Tw [(Monotonicity)-15589(Guaranteed Monotonic)]TJ T* 0.003 Tw [(Missing )-7(Codes )-7(\(16-Bit )-7(Resolution\))-6088(A, B, J, K, S, T)]TJ /F12 1 Tf 51.266 0 TD (4)Tj /F14 1 Tf 7.975 0 TD 0.01 Tc (Codes)Tj ET BX /GS1 gs EX 36 423.6 m 558 423.6 l S BT 7.9 0 0 8.5 216 429.24 Tm BX /GS2 gs EX 0.009 Tc 0 Tw (L, U)Tj /F12 1 Tf 29.62 0 TD (1)Tj /F14 1 Tf 7.975 0 TD 0.011 Tc (Code)Tj -60.38 -1.92 TD 0.009 Tc 0.014 Tw (VELOCITY SIGNAL)Tj 1.139 -1.115 TD 0.008 Tw [(Linearity)-17632(Over Full Range)]TJ /F21 1 Tf 45.57 0 TD (\261)Tj /F14 1 Tf 0.684 0 TD (1)Tj /F22 1 Tf 5.013 0 TD (6)Tj /F12 1 Tf 0.851 0 TD (3)Tj /F14 1 Tf 7.124 0 TD 0.001 Tw (% FSD)Tj -59.241 -1.115 TD 0.012 Tw (Reversion Error)Tj /F21 1 Tf 45.57 0 TD (\261)Tj /F14 1 Tf 0.684 0 TD (1)Tj /F21 1 Tf 5.013 0 TD (\261)Tj /F14 1 Tf 0.684 0 TD 0.001 Tw [(2)-6730(%)0( FSD)]TJ -51.949 -1.115 TD 0.008 Tw (DC Zero Offset)Tj 5.3 0 0 5.7 100.56 387.72 Tm (2)Tj /F12 1 Tf 7.9 0 0 8.5 450 384.48 Tm (6)Tj /F14 1 Tf 7.975 0 TD (mV)Tj -59.241 -1.115 TD 0.006 Tw (DC Zero Offset Tempco)Tj 45.57 0 TD 0.011 Tc (\32022)Tj /F21 1 Tf 13.671 0 TD (m)Tj /F14 1 Tf 0.516 0 TD 0.01 Tc (V/)Tj /F21 1 Tf 1.003 0 TD (\260)Tj /F14 1 Tf 0.41 0 TD (C)Tj -61.17 -1.129 TD 0.009 Tc 0.011 Tw (Gain Scaling Accuracy)Tj /F21 1 Tf 51.266 0 TD (\261)Tj /F14 1 Tf 0.684 0 TD 0.001 Tw [(10)-6168(% FSD)]TJ -51.949 -1.115 TD 0.004 Tw [(Output )-9(Voltage)-14741(1 mA Load)]TJ /F21 1 Tf 38.354 0 TD (\261)Tj /F14 1 Tf 0.684 0 TD (8)Tj /F21 1 Tf 6.532 0 TD (\261)Tj /F14 1 Tf 0.684 0 TD (9)Tj /F21 1 Tf 5.013 0 TD (\261)Tj /F14 1 Tf 0.684 0 TD 0.011 Tc [(10.5)-5301(V)]TJ -51.949 -1.115 TD 0.009 Tc [(Dynamic )-6(Ripple)-14400(Mean Value)-24215(1.5)-6548(% rms O/P)]TJ ET BX /GS1 gs EX 36 331.2 m 558 331.2 l S BT 7.9 0 0 8.5 45 336.96 Tm BX /GS2 gs EX 0.008 Tw (Output Load)Tj 51.266 0 TD 0.011 Tc [(1.0)-6546(k)]TJ /F21 1 Tf 8.506 0 TD (W)Tj /F14 1 Tf -60.911 -1.92 TD 0.009 Tc 0.019 Tw (INPUT/OUTPUT PROTECTION)Tj 1.139 -1.115 TD 0.015 Tw [(Analog Inputs)-15343(Overvoltage Protection)]TJ /F21 1 Tf 45.57 0 TD (\261)Tj /F14 1 Tf 0.684 0 TD 12.435 Tc (8V)Tj ET BX /GS1 gs EX 36 295.8 m 558 295.8 l S BT 7.9 0 0 8.5 45 301.56 Tm BX /GS2 gs EX 0.009 Tc 0.008 Tw [(Analog Outputs)-14273(Short Circuit O/P Protection)]TJ /F21 1 Tf 38.354 0 TD (\261)Tj /F14 1 Tf 0.684 0 TD 0.01 Tc (5.6)Tj /F21 1 Tf 6.532 0 TD (\261)Tj /F14 1 Tf 0.684 0 TD (8)Tj /F21 1 Tf 5.013 0 TD (\261)Tj /F14 1 Tf 0.684 0 TD 0.011 Tc [(10.4)-5301(mA)]TJ -53.089 -1.92 TD 0.009 Tc 0.018 Tw (DIGITAL POSITION)Tj 1.139 -1.115 TD 0.005 Tw [(Resolution)-16855(10, 12, 14, and 16)]TJ T* 0.011 Tw [(Output Format)-14804(Bidirectional Natural Binary)]TJ ET BX /GS1 gs EX 36 251.04 m 558 251.04 l S BT 7.9 0 0 8.5 45 256.8 Tm BX /GS2 gs EX 0.011 Tc (Load)Tj 51.266 0 TD 0.009 Tc [(3)-7414(LSTTL)]TJ ET 0.425 w 70.32 247.585 m 36 247.585 l S BT /F17 1 Tf 7.9 0 0 8.5 36 240.36 Tm 0.01 Tc (INHIBIT)Tj /F14 1 Tf 5.3 0 0 5.7 70.32 243.72 Tm (3)Tj 7.9 0 0 8.5 45 230.88 Tm 0.009 Tc 0.005 Tw [(Sense)-19094(Logic LO to Inhibit)]TJ ET 0.48 w BX /GS1 gs EX 36 215.64 m 558 215.64 l S BT 7.9 0 0 8.5 45 221.4 Tm BX /GS2 gs EX 0.007 Tw (Time to Stable Data)Tj 51.266 0 TD 0.01 Tc [(600)-6288(ns)]TJ ET 0.425 w 70.32 212.305 m 36 212.305 l S BT /F17 1 Tf 7.9 0 0 8.5 36 205.08 Tm (ENABLE)Tj /F14 1 Tf 5.3 0 0 5.7 70.32 208.32 Tm (3)Tj 7.9 0 0 8.5 216 205.08 Tm 0.009 Tc 0.009 Tw (Logic LO Enables Position)Tj 0 -1.115 TD 0.006 Tw (Output. Logic HI Outputs in)Tj ET 0.48 w BX /GS1 gs EX 36 180.36 m 558 180.36 l S 0.425 w BX /GS2 gs EX 79.2 193.225 m 45 193.225 l S BT /F17 1 Tf 7.9 0 0 8.5 45 186 Tm (ENABLE)Tj /F14 1 Tf 4.329 0 TD 0.009 Tw [( Time)-14615(High Impedance State)-6730(35)-11789(110)-6289(ns)]TJ -5.468 -1.92 TD 0.012 Tw (BYTE SELECT)Tj 5.3 0 0 5.7 94.56 173.04 Tm (3)Tj 7.9 0 0 8.5 45 160.2 Tm 0.006 Tw [(Sense)-19094(MS Byte DB1\320DB8,)]TJ 22.648 -1.115 TD 0.014 Tw (LS Byte DB9\320DB16)Tj -22.648 -1.115 TD 0.006 Tw [(LOGIC LO)-16323(LS Byte DB1\320DB8,)]TJ 22.648 -1.115 TD 0.014 Tw (LS Byte DB9\320DB16)Tj ET 0.48 w BX /GS1 gs EX 36 116.4 m 558 116.4 l S BT 7.9 0 0 8.5 45 122.16 Tm BX /GS2 gs EX 0.008 Tw [(Time to Data Available)-27926(60)-11789(140)-6289(ns)]TJ -1.139 -1.92 TD 0.01 Tw [(SHORT CYCLE INPUTS)-10636(Internally Pulled High)]TJ 22.785 -1.115 TD 0.005 Tw (\(100 k)Tj /F21 1 Tf 2.886 0 TD (W)Tj /F14 1 Tf 0.775 0 TD (\) to +V)Tj 5.3 0 0 5.7 270.72 94.92 Tm (S)Tj 7.9 0 0 8.5 45 86.88 Tm 0.012 Tc [(SC1)-999(SC2)]TJ 0.501 -1.115 TD 0.009 Tc 0.008 Tw [(0)-2295(0)-17728(10 Bit)]TJ 0 -1.129 TD [(0)-2295(1)-17728(12 Bit)]TJ 0 -1.115 TD [(1)-2295(0)-17728(14 Bit)]TJ ET BX /GS1 gs EX 36 43.08 m 558 43.08 l S BT 7.9 0 0 8.5 48.96 48.84 Tm BX /GS2 gs EX [(1)-2295(1)-17728(16 Bit)]TJ /F8 1 Tf 9.8 0 0 10 253.56 735.96 Tm 0.005 Tc (\(typical at +25)Tj /F22 1 Tf 5.461 0 TD (8)Tj /F8 1 Tf 0.331 0 TD (C unless otherwise noted\))Tj ET BX /GS1 gs EX 180.24 725.04 m 180.24 42.96 l 321.24 725.04 m 321.24 42.96 l 499.2 725.04 m 499.2 42.96 l S BT /F5 1 Tf 9.5 0 0 9.5 289.08 18.24 Tm BX /GS2 gs EX 0.051 Tc (\3202\320)Tj 24.96 -0.025 TD 0.026 Tc 0 Tw (REV. A)Tj ET endstream endobj 4 0 obj <> endobj 5 0 obj <>/Font<>/ProcSet[/PDF/Text]>> endobj 6 0 obj <>stream 0 0 0 1 K 0 J 0 j 0.48 w 10 M []0 d BX /GS1 gs EX 1 i 54 723.72 m 576 723.72 l S BT /F12 1 Tf 7.9 0 0 8.5 423 713.04 Tm 0 0 0 1 k BX /GS2 gs EX 0 Tc (AD2S80A)Tj ET BX /GS1 gs EX 54 697.8 m 576 697.8 l S BT 7.9 0 0 8.5 54 703.44 Tm BX /GS2 gs EX [(Parameter)-17578(Conditions)-11395(Min)-5248(Typ)-3799(Max)-5849(Units)]TJ /F14 1 Tf 0 -2.005 TD 0.009 Tc 0.007 Tw (DATA LOAD)Tj 1.139 -1.129 TD 0.008 Tw [(Sense)-19094(Internally Pulled High \(100 k)]TJ /F21 1 Tf 34.785 0 TD (W)Tj /F14 1 Tf 0.775 0 TD 0.01 Tc [(\))-9615(150)-4009(300)-6288(ns)]TJ -13.914 -1.115 TD 0.009 Tc 0.005 Tw (to +V)Tj 5.3 0 0 5.7 254.4 665.88 Tm (S)Tj 7.9 0 0 8.5 257.52 667.32 Tm (. Logic LO Allows)Tj -2.977 -1.115 TD 0.006 Tw (Data to be Loaded into the)Tj ET BX /GS1 gs EX 54 642.6 m 576 642.6 l S BT 7.9 0 0 8.5 234 648.36 Tm BX /GS2 gs EX (Counters from the Data Lines)Tj -22.785 -1.92 TD 0.01 Tc (BUSY)Tj 5.3 0 0 5.7 76.8 635.28 Tm (3)Tj 7.9 0 0 8.5 63 622.44 Tm 0.009 Tc 0.007 Tw [(Sense)-19094(Logic HI When Position O/P)]TJ 21.646 -1.115 TD 0.01 Tc (Changing)Tj -21.646 -1.115 TD (Width)Tj /F12 1 Tf 38.354 0 TD 0.009 Tc [(200)-11321(600)]TJ /F14 1 Tf 20.886 0 TD 0.011 Tc (ns)Tj ET BX /GS1 gs EX 54 588.24 m 576 588.24 l S BT 7.9 0 0 8.5 63 594 Tm BX /GS2 gs EX 0.009 Tc 0.01 Tw [(Load)-19334(Use Additional Pull-Up)-19035(1)-7414(LSTTL)]TJ -1.139 -1.92 TD 0.01 Tc (DIRECTION)Tj 5.3 0 0 5.7 103.68 580.92 Tm (3)Tj 7.9 0 0 8.5 63 568.2 Tm 0.009 Tc 0.007 Tw [(Sense)-19094(Logic HI Counting Up)]TJ 21.646 -1.129 TD 0.008 Tw (Logic LO Counting Down)Tj ET BX /GS1 gs EX 54 543.36 m 576 543.36 l S BT 7.9 0 0 8.5 63 549.12 Tm BX /GS2 gs EX 0.005 Tw (Max Load)Tj 51.266 0 TD [(3)-7414(LSTTL)]TJ -52.405 -1.92 TD 0.011 Tw (RIPPLE CLOCK)Tj 5.3 0 0 5.7 117 536.04 Tm (3)Tj 7.9 0 0 8.5 63 523.32 Tm 0.007 Tw [(Sense)-19094(Logic HI)]TJ 21.646 -1.115 TD 0.004 Tw (All 1s to All 0s)Tj 0 -1.129 TD (All 0s to All 1s)Tj -21.646 -1.115 TD 0.009 Tw [(Width)-18860(Dependent on Input Velocity)]TJ /F12 1 Tf 38.354 0 TD (300)Tj /F14 1 Tf -38.354 -1.115 TD [(Reset)-19208(Before Next Busy)]TJ ET BX /GS1 gs EX 54 470.04 m 576 470.04 l S BT 7.9 0 0 8.5 63 475.8 Tm BX /GS2 gs EX 0.011 Tc (Load)Tj 51.266 0 TD 0.009 Tc [(3)-7414(LSTTL)]TJ -52.405 -1.92 TD 0.015 Tw (DIGITAL INPUTS)Tj 1.139 -1.115 TD 0.006 Tw (High Voltage, V)Tj 5.3 0 0 5.7 119.88 448.44 Tm (IH)Tj ET 0.425 w 268.2 457.225 m 234 457.225 l S BT /F17 1 Tf 7.9 0 0 8.5 234 450 Tm (INHIBIT)Tj /F14 1 Tf 4.329 0 TD (, )Tj ET 307.2 457.225 m 273 457.225 l S BT /F17 1 Tf 7.9 0 0 8.5 273 450 Tm (ENABLE)Tj /F12 1 Tf 11.772 0 TD (2.0)Tj /F14 1 Tf 20.886 0 TD (V)Tj -37.595 -1.129 TD 0.011 Tw (DB1\320DB16, Byte Select)Tj /F21 1 Tf 0 -1.115 TD (\261)Tj /F14 1 Tf 0.684 0 TD (V)Tj 5.3 0 0 5.7 245.04 429.48 Tm (S)Tj 7.9 0 0 8.5 248.16 430.92 Tm 0.01 Tc 0.002 Tw ( = )Tj /F21 1 Tf 1.261 0 TD (\261)Tj /F14 1 Tf 0.684 0 TD 0.009 Tc (10.8 V, V)Tj 5.3 0 0 5.7 297.48 429.48 Tm (L)Tj 7.9 0 0 8.5 301.08 430.92 Tm ( = 5.0 V)Tj -30.137 -1.115 TD 0.006 Tw (Low Voltage, V)Tj 5.3 0 0 5.7 117.96 420 Tm (IL)Tj ET 268.2 428.665 m 234 428.665 l S BT /F17 1 Tf 7.9 0 0 8.5 234 421.44 Tm (INHIBIT)Tj /F14 1 Tf 4.329 0 TD (, )Tj ET 307.2 428.665 m 273 428.665 l S BT /F17 1 Tf 7.9 0 0 8.5 273 421.44 Tm (ENABLE)Tj /F12 1 Tf 24.684 0 TD (0.8)Tj /F14 1 Tf 7.975 0 TD (V)Tj -37.595 -1.115 TD 0.011 Tw (DB1\320DB16, Byte Select)Tj ET 0.48 w BX /GS1 gs EX 54 396.72 m 576 396.72 l S BT /F21 1 Tf 7.9 0 0 8.5 234 402.48 Tm BX /GS2 gs EX (\261)Tj /F14 1 Tf 0.684 0 TD (V)Tj 5.3 0 0 5.7 245.04 401.04 Tm (S)Tj 7.9 0 0 8.5 248.16 402.48 Tm 0.01 Tc 0.002 Tw ( = )Tj /F21 1 Tf 1.261 0 TD (\261)Tj /F14 1 Tf 0.684 0 TD 0.009 Tc (13.2 V, V)Tj 5.3 0 0 5.7 297.48 401.04 Tm (L)Tj 7.9 0 0 8.5 301.08 402.48 Tm ( = 5.0 V)Tj -31.276 -1.92 TD 0.015 Tw (DIGITAL INPUTS)Tj 1.139 -1.129 TD 0.008 Tw (High Current, I)Tj 5.3 0 0 5.7 118.68 375.12 Tm (IH)Tj ET 0.425 w 268.2 383.785 m 234 383.785 l S BT /F17 1 Tf 7.9 0 0 8.5 234 376.56 Tm (INHIBIT)Tj /F14 1 Tf 4.329 0 TD (, )Tj ET 307.2 383.785 m 273 383.785 l S BT /F17 1 Tf 7.9 0 0 8.5 273 376.56 Tm (ENABLE)Tj /F21 1 Tf 24.684 0 TD (\261)Tj /F12 1 Tf 0.684 0 TD (100)Tj /F21 1 Tf 7.291 0 TD (m)Tj /F14 1 Tf 0.501 0 TD (A)Tj -38.096 -1.115 TD 0.01 Tc (DB1\320DB16)Tj /F21 1 Tf T* (\261)Tj /F14 1 Tf 0.684 0 TD (V)Tj 5.3 0 0 5.7 245.04 356.16 Tm (S)Tj 7.9 0 0 8.5 248.16 357.6 Tm 0.002 Tw ( = )Tj /F21 1 Tf 1.261 0 TD (\261)Tj /F14 1 Tf 0.684 0 TD 0.009 Tc (13.2 V , V)Tj 5.3 0 0 5.7 299.76 356.16 Tm (L)Tj 7.9 0 0 8.5 303.36 357.6 Tm ( = 5.5 V)Tj -30.425 -1.115 TD 0.008 Tw (Low Current, I)Tj 5.3 0 0 5.7 116.76 346.68 Tm (IL)Tj ET 268.2 355.345 m 234 355.345 l S BT /F17 1 Tf 7.9 0 0 8.5 234 348.12 Tm (INHIBIT)Tj /F14 1 Tf 4.329 0 TD (, )Tj ET 307.2 355.345 m 273 355.345 l S BT /F17 1 Tf 7.9 0 0 8.5 273 348.12 Tm (ENABLE)Tj /F21 1 Tf 24.684 0 TD (\261)Tj /F12 1 Tf 0.684 0 TD (100)Tj /F21 1 Tf 7.291 0 TD (m)Tj /F14 1 Tf 0.501 0 TD (A)Tj -38.096 -1.115 TD 0.011 Tw (DB1\320DB16, Byte Select)Tj ET 0.48 w BX /GS1 gs EX 54 323.4 m 576 323.4 l S BT /F21 1 Tf 7.9 0 0 8.5 234 329.16 Tm BX /GS2 gs EX (\261)Tj /F14 1 Tf 0.684 0 TD (V)Tj 5.3 0 0 5.7 245.04 327.6 Tm (S)Tj 7.9 0 0 8.5 248.16 329.16 Tm 0.01 Tc 0.002 Tw ( = )Tj /F21 1 Tf 1.261 0 TD (\261)Tj /F14 1 Tf 0.684 0 TD 0.009 Tc (13.2 V, V)Tj 5.3 0 0 5.7 297.48 327.6 Tm (L)Tj 7.9 0 0 8.5 301.08 329.16 Tm ( = 5.5 V)Tj -31.276 -1.934 TD 0.015 Tw (DIGITAL INPUTS)Tj 1.139 -1.115 TD 0.006 Tw (Low Voltage, V)Tj 5.3 0 0 5.7 117.96 301.8 Tm (IL)Tj ET 0.425 w 268.2 310.465 m 234 310.465 l S BT /F17 1 Tf 7.9 0 0 8.5 234 303.24 Tm (ENABLE)Tj /F14 1 Tf 4.329 0 TD 0.01 Tc 0.003 Tw ( = HI)Tj /F12 1 Tf 25.291 0 TD 0.009 Tc (1.0)Tj /F14 1 Tf 7.975 0 TD (V)Tj -37.595 -1.115 TD 0.006 Tw (SC1, SC2, Data Load)Tj /F21 1 Tf T* (\261)Tj /F14 1 Tf 0.684 0 TD (V)Tj 5.3 0 0 5.7 245.04 282.84 Tm (S)Tj 7.9 0 0 8.5 248.16 284.28 Tm 0.01 Tc 0.002 Tw ( = )Tj /F21 1 Tf 1.261 0 TD (\261)Tj /F14 1 Tf 0.684 0 TD 0.009 Tc (12.0 V, V)Tj 5.3 0 0 5.7 297.48 282.84 Tm (L)Tj 7.9 0 0 8.5 301.08 284.28 Tm ( = 5.0 V)Tj -30.137 -1.115 TD 0.008 Tw (Low Current, I)Tj 5.3 0 0 5.7 116.76 273.36 Tm (IL)Tj ET 270.6 282.025 m 234 282.025 l S BT /F17 1 Tf 7.9 0 0 8.5 234 274.8 Tm (ENABLE )Tj /F14 1 Tf 4.633 0 TD 0.004 Tw (= HI)Tj /F12 1 Tf 24.987 0 TD 0.01 Tc (\320400)Tj /F21 1 Tf 7.975 0 TD (m)Tj /F14 1 Tf 0.501 0 TD (A)Tj -38.096 -1.129 TD 0.009 Tc 0.006 Tw (SC1, SC2, Data Load)Tj ET 0.48 w BX /GS1 gs EX 54 249.96 m 576 249.96 l S BT /F21 1 Tf 7.9 0 0 8.5 234 255.72 Tm BX /GS2 gs EX (\261)Tj /F14 1 Tf 0.684 0 TD (V)Tj 5.3 0 0 5.7 245.04 254.28 Tm (S)Tj 7.9 0 0 8.5 248.16 255.72 Tm 0.01 Tc 0.002 Tw ( = )Tj /F21 1 Tf 1.261 0 TD (\261)Tj /F14 1 Tf 0.684 0 TD 0.009 Tc (12.0 V, V)Tj 5.3 0 0 5.7 297.48 254.28 Tm (L)Tj 7.9 0 0 8.5 301.08 255.72 Tm ( = 5.0 V)Tj -31.276 -1.92 TD 0.015 Tw (DIGITAL OUTPUTS)Tj 1.139 -1.115 TD 0.005 Tw (High Voltage, V)Tj 5.3 0 0 5.7 119.76 228.48 Tm (OH)Tj 7.9 0 0 8.5 234 229.92 Tm 0.01 Tc (DB1\320DB16)Tj /F12 1 Tf 16.709 0 TD 0.009 Tc (2.4)Tj /F14 1 Tf 20.886 0 TD (V)Tj -37.595 -1.115 TD 0.006 Tw (RIPPLE CLK, DIR)Tj /F21 1 Tf T* (\261)Tj /F14 1 Tf 0.684 0 TD (V)Tj 5.3 0 0 5.7 245.04 209.4 Tm (S)Tj 7.9 0 0 8.5 248.16 210.96 Tm 0.01 Tc 0.002 Tw ( = )Tj /F21 1 Tf 1.261 0 TD (\261)Tj /F14 1 Tf 0.684 0 TD 0.009 Tc (12.0 V, V)Tj 5.3 0 0 5.7 297.48 209.4 Tm (L)Tj 7.9 0 0 8.5 301.08 210.96 Tm ( = 4.5 V)Tj -8.491 -1.129 TD (I)Tj 5.3 0 0 5.7 237 199.92 Tm (OH)Tj 7.9 0 0 8.5 246 201.36 Tm 0.001 Tw ( = 100 )Tj /F21 1 Tf 3.22 0 TD (m)Tj /F14 1 Tf 0.501 0 TD (A)Tj -26.886 -1.115 TD 0.008 Tw (Low Voltage, V)Tj 5.3 0 0 5.7 117.96 190.44 Tm (OL)Tj 7.9 0 0 8.5 234 191.88 Tm 0.01 Tc (DB1\320DB16)Tj /F12 1 Tf 29.62 0 TD 0.009 Tc (0.4)Tj /F14 1 Tf 7.975 0 TD (V)Tj -37.595 -1.115 TD 0.006 Tw (RIPPLE CLK, DIR)Tj /F21 1 Tf T* (\261)Tj /F14 1 Tf 0.684 0 TD (V)Tj 5.3 0 0 5.7 245.04 171.48 Tm (S)Tj 7.9 0 0 8.5 248.16 172.92 Tm 0.01 Tc 0.002 Tw ( = )Tj /F21 1 Tf 1.261 0 TD (\261)Tj /F14 1 Tf 0.684 0 TD 0.009 Tc (12.0 V, V)Tj 5.3 0 0 5.7 297.48 171.48 Tm (L)Tj 7.9 0 0 8.5 301.08 172.92 Tm ( = 5.5 V)Tj ET BX /GS1 gs EX 54 157.68 m 576 157.68 l S BT 7.9 0 0 8.5 234 163.44 Tm BX /GS2 gs EX (I)Tj 5.3 0 0 5.7 237 162 Tm (OL)Tj 7.9 0 0 8.5 245.04 163.44 Tm 0.004 Tw ( = 1.2 mA)Tj -24.182 -1.934 TD 0.014 Tw [(THREE-STATE LEAKAGE)-9656(DB1\320DB16 Only)]TJ 1.139 -1.115 TD 0.008 Tw (Current I)Tj 5.3 0 0 5.7 96.36 136.08 Tm (L)Tj /F21 1 Tf 7.9 0 0 8.5 234 137.52 Tm (\261)Tj /F14 1 Tf 0.684 0 TD (V)Tj 5.3 0 0 5.7 245.04 136.08 Tm (S)Tj 7.9 0 0 8.5 248.16 137.52 Tm 0.01 Tc 0.002 Tw ( = )Tj /F21 1 Tf 1.261 0 TD (\261)Tj /F14 1 Tf 0.684 0 TD 0.009 Tc (12.0 V, V)Tj 5.3 0 0 5.7 297.48 136.08 Tm (L)Tj 7.9 0 0 8.5 301.08 137.52 Tm ( = 5.5 V)Tj /F21 1 Tf 21.129 0 TD (\261)Tj /F14 1 Tf 0.684 0 TD 0.01 Tc (100)Tj /F21 1 Tf 7.291 0 TD (m)Tj /F14 1 Tf 0.501 0 TD (A)Tj -38.096 -1.115 TD (V)Tj 5.3 0 0 5.7 239.64 126.6 Tm 0.009 Tc (OL)Tj 7.9 0 0 8.5 247.68 128.04 Tm 0.01 Tc ( = 0 V)Tj /F21 1 Tf -1.732 -1.115 TD (\261)Tj /F14 1 Tf 0.684 0 TD (V)Tj 5.3 0 0 5.7 245.04 117.12 Tm (S)Tj 7.9 0 0 8.5 248.16 118.56 Tm ( = )Tj /F21 1 Tf 1.261 0 TD (\261)Tj /F14 1 Tf 0.684 0 TD 0.009 Tc (12.0 V, V)Tj 5.3 0 0 5.7 297.48 117.12 Tm (L)Tj 7.9 0 0 8.5 301.08 118.56 Tm ( = 5.5 V)Tj /F21 1 Tf 21.129 0 TD (\261)Tj /F14 1 Tf 0.684 0 TD 0.01 Tc (100)Tj /F21 1 Tf 7.291 0 TD (m)Tj /F14 1 Tf 0.501 0 TD (A)Tj ET BX /GS1 gs EX 54 103.32 m 576 103.32 l S BT 7.9 0 0 8.5 234 109.08 Tm BX /GS2 gs EX (V)Tj 5.3 0 0 5.7 239.64 107.64 Tm 0.009 Tc (OH)Tj 7.9 0 0 8.5 248.64 109.08 Tm ( = 5.0 V)Tj 6.95 0 0 7.5 54 93 Tm 0.014 Tc (NOTES)Tj 4.65 0 0 5 54 87 Tm (1)Tj 6.95 0 0 7.5 56.64 84 Tm 0.011 Tc 0.016 Tw (Refer to small signal bandwidth.)Tj 4.65 0 0 5 54 78 Tm (2)Tj 6.95 0 0 7.5 56.64 75 Tm 0.012 Tw (Output offset dependent on value for R6.)Tj 4.65 0 0 5 54 69 Tm (3)Tj 6.95 0 0 7.5 56.64 66 Tm 0.015 Tw (Refer to timing diagram.)Tj -0.38 -1.376 TD 0.019 Tw (Specifications subject to change without notice.)Tj 0 -1.28 TD 0.014 Tw (All min and max specifications are guaranteed. Specifications in )Tj /F12 1 Tf 28.99 0 TD (boldface)Tj /F14 1 Tf 4.161 0 TD [( are tested on all production units at final electrical test.)]TJ ET BX /GS1 gs EX 203.76 723.96 m 203.76 103.56 l 353.28 723.96 m 353.28 103.56 l 511.2 723.96 m 511.2 103.56 l S BT /F8 1 Tf 18 0 0 18 520.2 735.24 Tm BX /GS2 gs EX -0.01 Tc (AD2S80A)Tj /F5 1 Tf 9.5 0 0 9.5 54 17.64 Tm 0.026 Tc 0 Tw (REV. A)Tj 26.754 -0.038 TD 0.05 Tc (\3203\320)Tj ET endstream endobj 7 0 obj <> endobj 8 0 obj <>/Font<>/ProcSet[/PDF/Text]>> endobj 9 0 obj <>stream BT /F8 1 Tf 23.05 0 0 24 36 732.36 Tm 0 0 0 1 k BX /GS2 gs EX -0.018 Tc [(AD2S80A\320SPECIFICA)43(TIONS)]TJ ET 0 0 0 1 K 0 J 0 j 0.48 w 10 M []0 d BX /GS1 gs EX 1 i 36 723.84 m 558 723.84 l S BT /F12 1 Tf 7.9 0 0 8.5 405 713.04 Tm BX /GS2 gs EX 0 Tc (AD2S80A)Tj ET BX /GS1 gs EX 36 697.8 m 558 697.8 l S BT 7.9 0 0 8.5 36 703.56 Tm BX /GS2 gs EX [(Parameter)-17578(Conditions)-11395(Min)-5248(Typ)-3799(Max)-5849(Units)]TJ /F14 1 Tf 0 -2.019 TD 0.009 Tc 0.015 Tw (RATIO MULTIPLIER)Tj 1.139 -1.115 TD 0.008 Tw [(AC Error Output Scaling)-10396(10 Bit)]TJ /F12 1 Tf 45.57 0 TD 0.01 Tc (177.6)Tj /F14 1 Tf 13.671 0 TD (mV/Bit)Tj -37.595 -1.115 TD 0.009 Tc (12 Bit)Tj /F12 1 Tf 23.924 0 TD (44.4)Tj /F14 1 Tf 13.671 0 TD 0.01 Tc (mV/Bit)Tj -37.595 -1.129 TD 0.009 Tc (14 Bit)Tj /F12 1 Tf 23.924 0 TD (11.1)Tj /F14 1 Tf 13.671 0 TD 0.01 Tc (mV/Bit)Tj ET BX /GS1 gs EX 36 642.6 m 558 642.6 l S BT 7.9 0 0 8.5 216 648.36 Tm BX /GS2 gs EX 0.009 Tc (16 Bit)Tj /F12 1 Tf 23.924 0 TD 0.01 Tc (2.775)Tj /F14 1 Tf 13.671 0 TD (mV/Bit)Tj -60.38 -1.92 TD 0.009 Tc 0.011 Tw (PHASE SENSITIVE DETECTOR)Tj 1.139 -1.115 TD 0.009 Tw (Output Offset Voltage)Tj /F12 1 Tf 51.266 0 TD (12)Tj /F14 1 Tf 7.975 0 TD (mV)Tj -59.241 -1.115 TD 0.011 Tc (Gain)Tj 1.139 -1.115 TD 0.009 Tc 0.005 Tw [(In Phase)-16664(w.r.t. REF)-11968(\3200.882)-4157(\3200.9)-3764(\3200.918)-4916(V rms/V dc)]TJ 0 -1.129 TD 0.01 Tw [(In Quadrature)-14109(w.r.t. REF)]TJ /F21 1 Tf 50.127 0 TD (\261)Tj /F14 1 Tf 0.684 0 TD 0.01 Tc 0.003 Tw [(0.02)-5302(V rms/V dc)]TJ -51.949 -1.115 TD 0.009 Tc 0.007 Tw (Input Bias Current)Tj 45.57 0 TD 0.01 Tc (60)Tj /F12 1 Tf 5.696 0 TD 0.009 Tc (150)Tj /F14 1 Tf 7.975 0 TD 0.011 Tc (nA)Tj -59.241 -1.115 TD 0.009 Tc 0.015 Tw [(Input Impedance)-30679(1)-20325(M)]TJ /F21 1 Tf 60.258 0 TD (W)Tj ET BX /GS1 gs EX 36 559.8 m 558 559.8 l S BT /F14 1 Tf 7.9 0 0 8.5 45 565.56 Tm BX /GS2 gs EX (Input Voltage)Tj /F21 1 Tf 51.266 0 TD (\261)Tj /F14 1 Tf 0.684 0 TD 6.739 Tc (8V)Tj -53.089 -1.92 TD 0.01 Tc (INTEGRATOR)Tj 1.139 -1.129 TD 0.009 Tc 0.005 Tw [(Open-Loop )-8(Gain)-13970(At 10 kHz)-12106(57)-11789(63)-6852(dB)]TJ 0 -1.115 TD 0.01 Tw [(Dead Zone Current \(Hysteresis\))-31125(100)-11985(nA/LSB)]TJ T* (Input Offset Voltage)Tj 45.57 0 TD 5.144 Tc [(15)-2279(m)5136(V)]TJ -45.57 -1.115 TD 0.009 Tc 0.007 Tw (Input Bias Current)Tj 45.57 0 TD 0.01 Tc [(60)-4573(150)-6288(nA)]TJ ET BX /GS1 gs EX 36 497.16 m 558 497.16 l S BT 7.9 0 0 8.5 45 501.72 Tm BX /GS2 gs EX 0.009 Tc 0.011 Tw (Output Voltage Range)Tj /F21 1 Tf 21.646 0 TD (\261)Tj /F14 1 Tf 0.684 0 TD (V)Tj 5.3 0 0 5.7 227.04 500.28 Tm (S )Tj 7.9 0 0 8.5 231.72 501.72 Tm (= )Tj /F21 1 Tf 0.972 0 TD (\261)Tj /F14 1 Tf 0.684 0 TD 0.005 Tw (10.8 V dc)Tj /F21 1 Tf 13.063 0 TD (\261)Tj /F14 1 Tf 0.684 0 TD 19.651 Tc (7V)Tj -40.177 -2.485 TD 0.01 Tc (VCO)Tj 1.139 -1.129 TD 0.009 Tc 0.012 Tw (Maximum Rate)Tj /F21 1 Tf 21.646 0 TD (\261)Tj /F14 1 Tf 0.684 0 TD (V)Tj 5.3 0 0 5.7 227.04 469.56 Tm (S)Tj 7.9 0 0 8.5 230.16 471 Tm 0.011 Tc 0.003 Tw ( = )Tj /F21 1 Tf 1.261 0 TD (\261)Tj /F14 1 Tf 0.684 0 TD 0.009 Tc 0.005 Tw (12 V dc)Tj /F12 1 Tf 25.884 0 TD (1.1)Tj /F14 1 Tf 7.975 0 TD 0.011 Tc (MHz)Tj -59.241 -1.115 TD 0.009 Tc 0.007 Tw [(VCO Rate)-16987(Positive )-9(Direction)]TJ /F12 1 Tf 38.354 0 TD [(7.1)-5802(7.9)-4283(8.7)]TJ /F14 1 Tf 20.886 0 TD 0.01 Tc (kHz/)Tj /F21 1 Tf 2.127 0 TD (m)Tj /F14 1 Tf 0.501 0 TD (A)Tj -40.223 -1.115 TD 0.009 Tc 0.017 Tw (Negative Direction)Tj /F12 1 Tf 16.709 0 TD [(7.1)-5802(7.9)-4283(8.7)]TJ /F14 1 Tf 20.886 0 TD 0.01 Tc (kHz/)Tj /F21 1 Tf 2.127 0 TD (m)Tj /F14 1 Tf 0.501 0 TD (A)Tj -61.868 -1.115 TD 0.009 Tc 0.009 Tw (VCO Power Supply Sensitivity)Tj 1.139 -1.115 TD 0.01 Tc [(Increase)-16821(+V)]TJ 5.3 0 0 5.7 227.04 431.64 Tm (S)Tj 7.9 0 0 8.5 405 433.08 Tm [(+0.5)-11567(%/V)]TJ -23.924 -1.115 TD 0.013 Tc (\320V)Tj 5.3 0 0 5.7 225.72 422.04 Tm (S)Tj 7.9 0 0 8.5 405 423.6 Tm 0.009 Tc [(\3208.0)-11739(%/V)]TJ -44.43 -1.129 TD 0.01 Tc [(Decrease)-16455(+V)]TJ 5.3 0 0 5.7 227.04 412.56 Tm (S)Tj 7.9 0 0 8.5 405 414 Tm 0.009 Tc [(\3208.0)-11739(%/V)]TJ -23.924 -1.115 TD 0.013 Tc (\320V)Tj 5.3 0 0 5.7 225.72 403.08 Tm (S)Tj 7.9 0 0 8.5 405 404.52 Tm 0.01 Tc [(+2.0)-11567(%/V)]TJ -45.57 -1.115 TD 0.009 Tc 0.01 Tw (Input Offset Voltage)Tj 45.57 0 TD 5.144 Tc [(15)-2279(m)5136(V)]TJ -45.57 -1.115 TD 0.009 Tc 0.007 Tw (Input Bias Current)Tj 45.57 0 TD 0.01 Tc (70)Tj /F12 1 Tf 5.696 0 TD 0.009 Tc (380)Tj /F14 1 Tf 7.975 0 TD 0.011 Tc (nA)Tj -59.241 -1.115 TD 0.009 Tc 0.008 Tw (Input Bias Current Tempco)Tj 45.57 0 TD 0.01 Tc [(\3201.22)-11175(nA/)]TJ /F21 1 Tf 15.266 0 TD (\260)Tj /F14 1 Tf 0.41 0 TD (C)Tj -61.246 -1.115 TD 0.009 Tc (Input Voltage Range)Tj /F21 1 Tf 51.266 0 TD (\261)Tj /F14 1 Tf 0.684 0 TD 6.739 Tc (8V)Tj -51.949 -1.129 TD 0.009 Tc (Linearity of Absolute Rate)Tj 1.139 -1.115 TD 0.007 Tw (Full Range)Tj /F12 1 Tf 50.127 0 TD 0.007 Tc (<2)Tj /F14 1 Tf 7.975 0 TD 0.009 Tc 0.001 Tw (% FSD)Tj -58.101 -1.115 TD 0.004 Tw (Over 0% to 50% of Full Range)Tj /F12 1 Tf 50.127 0 TD 0.007 Tc (<1)Tj /F14 1 Tf 7.975 0 TD 0.009 Tc 0.001 Tw (% FSD)Tj -59.241 -1.115 TD 0.012 Tw (Reversion Error)Tj 51.266 0 TD 0.01 Tc 0 Tw [(1.5)-6547(% FSD)]TJ -51.266 -1.115 TD 0.009 Tc 0.009 Tw (Sensitivity of Reversion Error)Tj /F21 1 Tf 45.57 0 TD (\261)Tj /F14 1 Tf 0.684 0 TD 0.007 Tw [(8)-12426(%/V of)]TJ ET BX /GS1 gs EX 36 303.84 m 558 303.84 l S BT 7.9 0 0 8.5 54 309.6 Tm BX /GS2 gs EX (to Symmetry of Power Supplies)Tj 58.101 0 TD 0.01 Tc (Asymmetry)Tj -60.38 -1.934 TD 0.009 Tc 0.015 Tw (POWER SUPPLIES)Tj 1.139 -1.115 TD (Voltage Levels)Tj 1.139 -1.115 TD 0.012 Tc (+V)Tj 5.3 0 0 5.7 65.04 272.76 Tm (S)Tj /F12 1 Tf 7.9 0 0 8.5 348 274.2 Tm 0.01 Tc [(+10.8)-10289(+13.2)]TJ /F14 1 Tf 20.886 0 TD (V)Tj -58.101 -1.115 TD 0.013 Tc (\320V)Tj 5.3 0 0 5.7 63.72 263.28 Tm (S)Tj /F12 1 Tf 7.9 0 0 8.5 348 264.72 Tm 0.01 Tc [(\32010.8)-10454(\32013.2)]TJ /F14 1 Tf 20.886 0 TD (V)Tj -58.101 -1.115 TD 0.009 Tc (+V)Tj 5.3 0 0 5.7 65.04 253.8 Tm (L)Tj /F12 1 Tf 7.9 0 0 8.5 348 255.24 Tm [(+5)-11707(+13.2)]TJ /F14 1 Tf 20.886 0 TD (V)Tj -59.241 -1.115 TD (Current)Tj /F21 1 Tf 1.139 -1.129 TD (\261)Tj /F14 1 Tf 0.684 0 TD (I)Tj 5.3 0 0 5.7 62.4 234.72 Tm (S)Tj /F21 1 Tf 7.9 0 0 8.5 216 236.16 Tm (\261)Tj /F14 1 Tf 0.684 0 TD (V)Tj 5.3 0 0 5.7 227.04 234.72 Tm (S)Tj 7.9 0 0 8.5 230.16 236.16 Tm 0.01 Tc 0.003 Tw ( @ )Tj /F21 1 Tf 1.519 0 TD (\261)Tj /F14 1 Tf 0.684 0 TD 0.009 Tc 0.004 Tw (12 V)Tj /F22 1 Tf 19.929 0 TD (6)Tj /F12 1 Tf 0.835 0 TD 0.011 Tc (12)Tj /F22 1 Tf 4.861 0 TD (6)Tj /F12 1 Tf 0.835 0 TD (23)Tj /F14 1 Tf 7.139 0 TD 0.01 Tc (mA)Tj /F21 1 Tf -58.101 -1.115 TD (\261)Tj /F14 1 Tf 0.684 0 TD (I)Tj 5.3 0 0 5.7 62.4 225.24 Tm (S)Tj /F21 1 Tf 7.9 0 0 8.5 216 226.68 Tm (\261)Tj /F14 1 Tf 0.684 0 TD (V)Tj 5.3 0 0 5.7 227.04 225.24 Tm (S)Tj 7.9 0 0 8.5 230.16 226.68 Tm ( @ 13.2 V)Tj /F22 1 Tf 22.132 0 TD (6)Tj /F12 1 Tf 0.835 0 TD 0.011 Tc (19)Tj /F22 1 Tf 4.861 0 TD (6)Tj /F12 1 Tf 0.835 0 TD (30)Tj /F14 1 Tf 7.139 0 TD 0.01 Tc (mA)Tj ET BX /GS1 gs EX 36 211.44 m 558 211.44 l S BT /F21 1 Tf 7.9 0 0 8.5 54 217.2 Tm BX /GS2 gs EX (\261)Tj /F14 1 Tf 0.684 0 TD (I)Tj 5.3 0 0 5.7 62.4 215.76 Tm (L)Tj 7.9 0 0 8.5 216 217.2 Tm 0.009 Tc (+V)Tj 5.3 0 0 5.7 227.04 215.76 Tm (L)Tj 7.9 0 0 8.5 230.64 217.2 Tm 0.01 Tc 0.002 Tw ( @ )Tj /F21 1 Tf 1.519 0 TD (\261)Tj /F14 1 Tf 0.668 0 TD 0.009 Tc (5.0 V)Tj /F22 1 Tf 19.884 0 TD (6)Tj /F12 1 Tf 0.835 0 TD 0.011 Tc (0.5)Tj /F22 1 Tf 4.861 0 TD (6)Tj /F12 1 Tf 0.835 0 TD (1.5)Tj /F14 1 Tf 7.139 0 TD 0.01 Tc (mA)Tj 6.95 0 0 7.5 36 201.24 Tm 0.011 Tc 0.019 Tw (Specification subject to change without notice.)Tj 0 -1.2 TD 0.014 Tw (All min and max specifications are guaranteed. Specifications in )Tj /F12 1 Tf 28.99 0 TD (boldface)Tj /F14 1 Tf 4.161 0 TD [( are tested on all production units at final electrical test.)]TJ /F8 1 Tf 9.8 0 0 10 253.56 735 Tm 0.005 Tc 0.008 Tw (\(typical at +25)Tj /F22 1 Tf 8.5 0 0 8.5 307.08 735 Tm (8)Tj /F8 1 Tf 9.8 0 0 10 309.96 735 Tm (C unless otherwise noted\))Tj ET BX /GS1 gs EX 180.24 723.96 m 180.24 211.56 l 321.24 723.96 m 321.24 211.56 l 499.8 723.96 m 499.8 211.56 l S BT /F12 1 Tf 8.35 0 0 9 36 147.6 Tm BX /GS2 gs EX 0.008 Tc 0.017 Tw (ESD SENSITIVITY)Tj /F14 1 Tf 0 -1.213 TD 0.028 Tc -0.018 Tw (The AD2S80A features an input protection circuit consisting of large \322distributed\323 diodes and)Tj 0 -1.227 TD 0.023 Tw (polysilicon series resistors to dissipate both high energy discharges \(Human Body Model\) and)Tj T* 0.008 Tw (fast, low energy pulses \(Charges Device Model\).)Tj 0 -1.667 TD -0.006 Tw (The AD2S80A is ESD protection Class II \(2000 V min\). Proper ESD precautions are strongly)Tj 0 -1.213 TD -0.058 Tw (recommended to avoid functional damage or performance degradation. For further information)Tj ET BX /GS1 gs EX 36 71.88 m 415.44 71.88 l S BT 8.35 0 0 9 36 77.64 Tm BX /GS2 gs EX 0.008 Tw (on ESD precautions, refer to Analog Devices )Tj /F16 1 Tf 21.198 0 TD (ESD Prevention Manual)Tj /F14 1 Tf 10.865 0 TD (.)Tj ET BX /GS1 gs EX 119.52 148.56 m 416.04 148.56 l S q 429.96 139.68 128.04 -50.04 re W n 2 J 1.001 w 430.96 138.679 126.039 -48.038 re B BT /F24 1 Tf 14.004 0 0 14.011 440.061 123.542 Tm 0 0 0 0 k 0 Tc (WARNING!)Tj /F25 1 Tf 6.002 0 0 6.005 483.149 93.268 Tm 0 Tw (ESD SENSITIVE DEVICE)Tj ET 0 0 0 0 K 0.5 w 518.223 118.61 m 526.177 110.478 l 498.48 103.603 l 499.128 111.317 l 491.541 108.827 l 502.028 117.502 l 502.043 109.866 l 518.157 118.604 l B 0 0 0 1 K 2.001 w 552.048 135.552 m 549.049 134.493 546.436 133.511 544.21 132.607 c 541.983 131.703 540.042 130.963 538.388 130.39 c 536.734 129.816 535.136 129.276 533.592 128.768 c 532.049 128.261 530.444 127.715 528.78 127.13 c 527.115 126.545 525.384 125.856 523.587 125.062 c 521.79 124.268 520.423 123.171 519.486 121.77 c 518.549 120.369 518.257 118.774 518.61 116.988 c 518.962 115.2 519.75 113.8 520.974 112.785 c 522.198 111.771 523.669 110.965 525.39 110.37 c 527.109 109.774 529.061 109.41 531.244 109.278 c 533.427 109.146 535.467 109.168 537.363 109.344 c 539.259 109.52 541.046 109.752 542.721 110.038 c 544.397 110.326 547.551 110.998 552.181 112.057 c 522.016 123.904 m 521.046 122.625 520.572 121.622 520.594 120.893 c 520.616 120.165 520.831 119.57 521.239 119.107 c 521.647 118.643 522.099 118.263 522.595 117.965 c 523.091 117.667 523.609 117.413 524.15 117.204 c 524.69 116.994 525.307 116.801 526.002 116.625 c 526.696 116.448 527.667 116.299 528.912 116.178 c 530.158 116.056 531.371 115.968 532.551 115.913 c 533.731 115.858 534.723 116.04 535.527 116.459 c 536.332 116.878 537.149 117.275 537.975 117.65 c 538.802 118.026 539.612 118.478 540.407 119.007 c 541.2 119.537 542.082 120.11 543.052 120.728 c 544.023 121.345 544.315 122.162 543.929 123.177 c 543.543 124.192 542.936 125.129 542.109 125.989 c 541.283 126.85 540.373 127.467 539.381 127.842 c 538.389 128.218 536.316 128.471 533.162 128.603 c S 0 0 0 1 k 551.248 135.552 2.001 -23.296 re 551.248 136.552 2.001 -1.001 re 551.248 112.256 2.001 -1.001 re f 553.487 133.988 m 550.488 133.04 547.875 132.16 545.648 131.35 c 543.422 130.54 541.481 129.877 539.826 129.364 c 538.173 128.849 536.574 128.365 535.031 127.911 c 533.487 127.456 531.883 126.967 530.218 126.442 c 528.554 125.919 526.822 125.301 525.026 124.59 c 523.228 123.878 521.862 122.895 520.924 121.64 c 519.987 120.384 519.695 118.955 520.048 117.354 c 520.4 115.754 521.189 114.498 522.413 113.589 c 523.636 112.679 525.108 111.958 526.828 111.424 c 528.548 110.891 530.5 110.565 532.682 110.446 c 534.866 110.327 536.906 110.346 538.801 110.505 c 540.698 110.663 542.484 110.871 544.16 111.128 c 545.835 111.384 548.989 111.988 553.62 112.937 c 523.454 123.551 m 522.484 122.405 522.011 121.505 522.033 120.853 c 522.055 120.2 522.27 119.667 522.677 119.252 c 523.085 118.837 523.537 118.496 524.033 118.229 c 524.53 117.963 525.048 117.734 525.588 117.547 c 526.128 117.359 526.745 117.186 527.441 117.028 c 528.135 116.87 529.105 116.737 530.35 116.628 c 531.597 116.519 532.809 116.44 533.99 116.39 c 535.169 116.341 536.161 116.504 536.966 116.88 c 537.771 117.255 538.587 117.611 539.413 117.948 c 540.241 118.284 541.051 118.688 541.845 119.164 c 542.638 119.638 543.521 120.151 544.491 120.705 c 545.461 121.258 545.753 121.99 545.367 122.9 c 544.981 123.808 544.375 124.649 543.548 125.42 c 542.721 126.19 541.811 126.744 540.82 127.08 c 539.827 127.416 537.755 127.643 534.601 127.761 c S 552.685 133.988 2.001 -20.875 re 552.685 134.989 2.001 -1.001 re 552.685 113.114 2.001 -1.001 re f 0 0 0 0 K 1.001 w 551.184 135.176 m 548.35 134.176 545.88 133.248 543.776 132.393 c 541.671 131.538 539.837 130.84 538.274 130.297 c 536.711 129.756 535.2 129.245 533.742 128.765 c 532.282 128.286 530.767 127.769 529.193 127.217 c 527.62 126.665 525.984 126.013 524.286 125.262 c 522.587 124.512 521.295 123.475 520.409 122.151 c 519.523 120.827 519.247 119.321 519.581 117.631 c 519.914 115.943 520.659 114.619 521.816 113.659 c 522.972 112.7 524.364 111.94 525.989 111.376 c 527.615 110.814 529.459 110.47 531.522 110.345 c 533.585 110.219 535.513 110.24 537.305 110.407 c 539.097 110.574 540.785 110.793 542.369 111.064 c 543.953 111.335 546.933 111.971 551.309 112.972 c S 0.5 w 522.801 124.169 m 521.884 122.96 521.436 122.011 521.457 121.322 c 521.478 120.635 521.681 120.071 522.066 119.634 c 522.452 119.196 522.879 118.836 523.347 118.555 c 523.816 118.273 524.307 118.034 524.817 117.836 c 525.328 117.637 525.911 117.455 526.567 117.288 c 527.224 117.121 528.141 116.981 529.318 116.866 c 530.496 116.752 531.642 116.668 532.757 116.616 c 533.872 116.564 534.81 116.736 535.57 117.132 c 536.331 117.528 537.102 117.904 537.884 118.258 c 538.665 118.612 539.431 119.039 540.182 119.54 c 540.932 120.04 541.765 120.583 542.682 121.166 c 543.599 121.75 543.875 122.521 543.511 123.48 c 543.146 124.44 542.572 125.326 541.791 126.139 c 541.01 126.952 540.15 127.536 539.212 127.891 c 538.274 128.245 536.315 128.484 533.335 128.609 c S 0 0 0 0 k 550.873 135.176 1 -22.018 re 550.873 135.677 1 -0.5 re 550.873 113.159 1 -0.5 re f 489.416 116.301 1 -1.681 re 489.416 114.62 1 -0.5 re 489.416 116.802 1 -0.5 re f Q q 447.136 117.039 20.789 3.249 re W n 0 0 0 0 k 447.552 116.897 m 447.427 117.89 l 467.508 120.431 l 467.634 119.438 l h 467.508 120.431 m 468.004 120.493 l 468.13 119.501 l 467.634 119.438 l h 447.427 117.89 m 447.552 116.897 l 447.056 116.834 l 446.931 117.827 l f Q q 471.286 118.599 7.587 1.614 re W n 0 0 0 0 k 471.705 118.456 m 471.575 119.449 l 478.455 120.355 l 478.586 119.363 l h 478.455 120.355 m 478.951 120.421 l 479.081 119.428 l 478.586 119.363 l h 471.575 119.449 m 471.705 118.456 l 471.21 118.391 l 471.079 119.383 l f Q q 434.795 113.894 12.946 2.287 re W n 0 0 0 0 k 435.213 113.751 m 435.085 114.744 l 447.324 116.323 l 447.451 115.331 l h 447.324 116.323 m 447.82 116.387 l 447.948 115.395 l 447.451 115.331 l h 435.085 114.744 m 435.213 113.751 l 434.717 113.687 l 434.589 114.68 l f Q q 467.405 118.581 4.265 1.71 re W n 0 0 0 0 k 467.623 119.456 m 467.895 120.419 l 471.453 119.416 l 471.181 118.453 l h 471.453 119.416 m 471.934 119.28 l 471.663 118.317 l 471.181 118.453 l h 467.895 120.419 m 467.623 119.456 l 467.142 119.591 l 467.413 120.554 l f Q q 447.325 112.674 11.722 4.9 re W n 0 0 0 0 k 447.454 116.959 m 447.727 117.659 l 458.918 113.289 l 458.646 112.59 l h 458.918 113.289 m 459.268 113.153 l 458.995 112.454 l 458.646 112.59 l h 447.727 117.659 m 447.454 116.959 l 447.105 117.096 l 447.378 117.795 l f Q q 458.568 112.668 21.134 3.898 re W n 0 0 0 0 k 458.894 112.563 m 458.773 113.304 l 479.376 116.672 l 479.497 115.931 l h 479.376 116.672 m 479.746 116.732 l 479.867 115.991 l 479.497 115.931 l h 458.773 113.304 m 458.894 112.563 l 458.523 112.503 l 458.402 113.243 l f Q q 445.8 108.404 44.381 8.402 re W n 0 0 0 0 k 446.131 108.3 m 445.999 109.039 l 489.85 116.91 l 489.982 116.171 l h 489.85 116.91 m 490.219 116.976 l 490.351 116.237 l 489.982 116.171 l h 445.999 109.039 m 446.131 108.3 l 445.762 108.234 l 445.63 108.972 l f Q q 429.96 139.68 128.04 -50.04 re W n 0 0 0 0 k 446.989 117.309 1 -1.344 re 446.989 115.965 1 -0.5 re 446.989 117.809 1 -0.5 re f Q q 447.224 110.825 11.417 5.194 re W n 0 0 0 0 k 447.342 115.408 m 447.637 116.098 l 458.524 111.435 l 458.228 110.745 l h 458.524 111.435 m 458.868 111.287 l 458.573 110.597 l 458.228 110.745 l h 447.637 116.098 m 447.342 115.408 l 446.997 115.556 l 447.292 116.246 l f Q q 429.96 139.68 128.04 -50.04 re W n 0 0 0 0 k 458.407 112.687 0.75 -1.429 re 458.407 111.258 0.75 -0.375 re 458.407 113.063 0.75 -0.375 re f 479.162 116.258 0.75 -1.136 re 479.162 115.122 0.75 -0.375 re 479.162 116.634 0.75 -0.375 re f Q q 434.883 108.388 11.447 6.308 re W n 0 0 0 0 k 434.973 114.099 m 435.324 114.763 l 446.241 108.985 l 445.89 108.321 l h 446.241 108.985 m 446.572 108.809 l 446.221 108.146 l 445.89 108.321 l h 435.324 114.763 m 434.973 114.099 l 434.642 114.275 l 434.992 114.938 l f Q q 478.167 116.254 12.103 3.973 re W n 0 0 0 0 k 478.383 119.392 m 478.658 120.354 l 490.054 117.089 l 489.778 116.126 l h 490.054 117.089 m 490.534 116.951 l 490.259 115.989 l 489.778 116.126 l h 478.658 120.354 m 478.383 119.392 l 477.902 119.53 l 478.177 120.492 l f Q q 434.795 105.862 11.624 6.843 re W n 0 0 0 0 k 434.904 111.915 m 435.394 112.787 l 446.31 106.652 l 445.82 105.78 l h 446.31 106.652 m 446.746 106.407 l 446.256 105.535 l 445.82 105.78 l h 435.394 112.787 m 434.904 111.915 l 434.468 112.16 l 434.958 113.033 l f Q q 429.96 139.68 128.04 -50.04 re W n 0 0 0 0 k 434.648 114.073 1 -1.596 re 434.648 112.477 1 -0.5 re 434.648 114.574 1 -0.5 re f 445.69 108.317 0.75 -1.764 re 445.69 106.552 0.75 -0.375 re 445.69 108.692 0.75 -0.375 re f Q q 445.66 105.878 44.609 9.041 re W n 0 0 0 0 k 446.107 105.741 m 445.921 106.724 l 489.823 115.057 l 490.009 114.074 l h 489.823 115.057 m 490.314 115.151 l 490.5 114.168 l 490.009 114.074 l h 445.921 106.724 m 446.107 105.741 l 445.616 105.647 l 445.429 106.631 l f Q q 470.025 116.136 9.777 3.182 re W n 0 0 0 0 k 470.187 118.692 m 470.394 119.413 l 479.641 116.762 l 479.434 116.041 l h 479.641 116.762 m 480.001 116.659 l 479.795 115.937 l 479.434 116.041 l h 470.394 119.413 m 470.187 118.692 l 469.827 118.795 l 470.033 119.517 l f Q q 429.96 139.68 128.04 -50.04 re W n 0 0 0 0 k 449.841 107.529 1 -10.008 re 449.841 97.521 1 -0.5 re 449.841 108.03 1 -0.5 re f 0 0 0 0 K 2 J 0.5 w 449.091 109.031 m 449.091 104.966 l 450.341 103.808 l 451.779 105.404 l 451.779 109.563 l 449.091 109.062 l B 436.712 110.97 1 -5.317 re 436.712 105.653 1 -0.5 re 436.712 111.47 1 -0.5 re f 440.525 108.718 1 -2.565 re 440.525 106.153 1 -0.5 re 440.525 109.219 1 -0.5 re f 455.08 108.437 1 -9.852 re 455.08 98.585 1 -0.5 re 455.08 108.937 1 -0.5 re f 454.343 109.916 m 454.343 105.913 l 455.58 104.774 l 457.003 106.344 l 457.003 110.439 l 454.343 109.946 l B 460.363 109.376 1 -9.696 re 460.363 99.68 1 -0.5 re 460.363 109.876 1 -0.5 re f 459.625 110.831 m 459.625 106.892 l 460.863 105.771 l 462.285 107.316 l 462.285 111.345 l 459.625 110.861 l B 465.461 110.472 1 -9.384 re 465.461 101.087 1 -0.5 re 465.461 110.972 1 -0.5 re f 464.783 111.879 m 464.783 108.067 l 465.961 106.982 l 467.317 108.477 l 467.317 112.377 l 464.783 111.909 l B 470.481 111.399 1 -9.28 re 470.481 102.119 1 -0.5 re 470.481 111.9 1 -0.5 re f 469.816 112.791 m 469.816 109.021 l 470.981 107.949 l 472.321 109.428 l 472.321 113.284 l 469.816 112.82 l B 475.55 112.297 1 -9.021 re 475.55 103.276 1 -0.5 re 475.55 112.797 1 -0.5 re f 474.911 113.65 m 474.911 109.985 l 476.05 108.942 l 477.361 110.38 l 477.361 114.129 l 474.911 113.678 l B 480.357 113.194 1 -8.76 re 480.357 104.434 1 -0.5 re 480.357 113.694 1 -0.5 re f 479.757 114.508 m 479.757 110.949 l 480.856 109.936 l 482.121 111.332 l 482.121 114.973 l 479.757 114.536 l B 485.113 114.143 1 -8.552 re 485.113 105.591 1 -0.5 re 485.113 114.643 1 -0.5 re f 484.539 115.427 m 484.539 111.952 l 485.612 110.963 l 486.848 112.326 l 486.848 115.88 l 484.539 115.453 l B Q q 448.549 96.006 38.407 9.884 re W n 449.021 95.874 m 448.785 96.847 l 486.485 106.023 l 486.721 105.05 l h 486.485 106.023 m 486.971 106.141 l 487.207 105.169 l 486.721 105.05 l h 448.785 96.847 m 449.021 95.874 l 448.535 95.756 l 448.299 96.728 l f Q q 435.295 104.006 7.522 2.005 re W n 435.742 103.868 m 435.555 104.851 l 442.371 106.148 l 442.557 105.165 l h 442.371 106.148 m 442.862 106.242 l 443.049 105.259 l 442.557 105.165 l h 435.555 104.851 m 435.742 103.868 l 435.251 103.775 l 435.064 104.758 l f Q BT /F5 1 Tf 9.5 0 0 9.5 289.08 19.2 Tm BX /GS2 gs EX 0.051 Tc (\3204\320)Tj 24.96 0.038 TD 0.026 Tc 0 Tw (REV. A)Tj ET endstream endobj 10 0 obj <> endobj 11 0 obj <> endobj 12 0 obj <>/Font<>/ProcSet[/PDF/Text]>> endobj 13 0 obj <>stream 0 0 0 1 K 0 J 0 j 0.96 w 10 M []0 d BX /GS1 gs EX 1 i 54.48 755.52 521.04 -27.24 re S BT /F8 1 Tf 18 0 0 18 502.44 735.12 Tm 0 0 0 1 k BX /GS2 gs EX -0.01 Tc (AD2S80A)Tj /F5 1 Tf 9.5 0 0 9.5 53.04 17.16 Tm 0.026 Tc 0 Tw (REV. A)Tj 26.754 -0.038 TD 0.05 Tc (\3205\320)Tj /F12 1 Tf 8.35 0 0 9 54 711.6 Tm 0.008 Tc 0.014 Tw (RECOMMENDED OPERATING CONDITIONS)Tj /F14 1 Tf 8.85 0 0 9.5 54 700.68 Tm 0.006 Tc (Power Supply Voltage \(+V)Tj 5.95 0 0 6.4 158.88 699 Tm (S)Tj 8.85 0 0 9.5 162.48 700.68 Tm (, \320V)Tj 5.95 0 0 6.4 178.56 699 Tm (S)Tj 8.85 0 0 9.5 182.04 700.68 Tm 0 Tc 0 Tw [(\))-551( . . . . . . . . .)]TJ /F22 1 Tf 6.658 0 TD (\261)Tj /F14 1 Tf 0.678 0 TD 0.006 Tc 0.007 Tw (12 V dc )Tj /F22 1 Tf 3.756 0 TD (\261)Tj /F14 1 Tf 0.664 0 TD [( 10%)]TJ -26.224 -1.162 TD 0.015 Tw (Power Supply Voltage V)Tj 5.95 0 0 6.4 149.4 688.08 Tm (L)Tj 8.85 0 0 9.5 155.04 689.64 Tm 0 Tc 0 Tw [( . . . . . . . . . . . . . . . . .)-589(+)-6(5)-6( )-12(V)-6( )-12(d)-6(c)-6( )]TJ /F22 1 Tf 14.142 0 TD (\261)Tj /F14 1 Tf 0.664 0 TD 0.006 Tc 0.006 Tw [( 10%)]TJ -26.224 -1.162 TD -0.006 Tw [(Analog )-19(Input )-19(Voltage )-19(\(SIN )-19(and )-19(COS\))-270( .)6( .)6( .)6( .)6( .)6( .)6( .)-642(2)0( )-12(V )-12(rms )]TJ /F22 1 Tf 25.559 0 TD (\261)Tj /F14 1 Tf 0.664 0 TD 0.006 Tw [( 10%)]TJ -26.224 -1.149 TD -0.006 Tw [(Analog )-23(Input )-23(Voltage )-23(\(REF\))-463( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)-516(1)0( )-12(V )-12(to )-12(8 )-12(V )-12(peak)]TJ 0 -1.162 TD [(Signal )-25(and )-25(Reference )-25(Harmonic )-25(Distortion)-432( .)6( .)6( .)6( .)6( .)6( .)6( .)-180(10% )-21(\(max\))]TJ T* -0.014 Tc 0.014 Tw [(Phase)-241(Shift)-250(Between)-245(Signal)-243(and)-247(Reference)-547( .)-14( .)-14( .)]TJ /F22 1 Tf 20.285 0 TD (\261)Tj /F14 1 Tf 0.664 0 TD 0.019 Tw [(10 Degrees)-248(\(max\))]TJ -20.949 -1.149 TD 0.006 Tc 0.023 Tw (Ambient Operating Temperature Range)Tj 1.003 -1.162 TD -0.006 Tw [(Commercial )-24(\(JD, )-24(KD, )-24(LD\))-560( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)-665(0)]TJ /F22 1 Tf 21.898 0 TD (\260)Tj /F14 1 Tf 0.393 0 TD 0.012 Tw (C to +70)Tj /F22 1 Tf 4.054 0 TD (\260)Tj /F14 1 Tf 0.407 0 TD (C)Tj -26.753 -1.162 TD -0.006 Tw [(Industrial )-29(\(AD, )-29(BD\))-183( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)-161(\32040)]TJ /F22 1 Tf 21.898 0 TD (\260)Tj /F14 1 Tf 0.393 0 TD 0.012 Tw (C to +85)Tj /F22 1 Tf 4.054 0 TD (\260)Tj /F14 1 Tf 0.407 0 TD (C)Tj -26.753 -1.149 TD 0.046 Tw (Extended \(SD, SE, TD, TE, UD, UE\) . . . \32055)Tj /F22 1 Tf 21.275 0 TD (\260)Tj /F14 1 Tf 0.407 0 TD (C to +125)Tj /F22 1 Tf 4.664 0 TD (\260)Tj /F14 1 Tf 0.407 0 TD (C)Tj /F12 1 Tf 8.35 0 0 9 54 579.6 Tm 0.008 Tc 0.008 Tw (ABSOLUTE MAXIMUM RATINGS)Tj 5.6 0 0 6 201.96 583.2 Tm (l)Tj 8.35 0 0 9 203.76 579.6 Tm ( )Tj /F14 1 Tf 6.95 0 0 7.5 206.28 579.6 Tm (\()Tj 7.9 0 0 8.5 209.04 579.6 Tm (with respect to GND)Tj 6.95 0 0 7.5 283.2 579.6 Tm (\))Tj /F16 1 Tf 8.85 0 0 9.5 54 568.68 Tm (+)Tj /F14 1 Tf 0.678 0 TD (V)Tj 5.95 0 0 6.4 66.36 567 Tm (S)Tj 0.605 0.844 TD (2)Tj 8.85 0 0 9.5 79.2 568.68 Tm 0.006 Tc -0.006 Tw [( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)-465(+14 )-13(V )-13(dc)]TJ -2.847 -1.162 TD 0.01 Tc (\320V)Tj 5.95 0 0 6.4 64.8 556.08 Tm (S)Tj 8.85 0 0 9.5 74.16 557.64 Tm 0 Tc 0 Tw [( . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .)-646(\320)-2(1)-6(4)-6( )-11(V)-6( )-11(d)-6(c)]TJ -2.278 -1.162 TD 0.009 Tc (+V)Tj 5.95 0 0 6.4 66.36 545.04 Tm (L)Tj 8.85 0 0 9.5 74.16 546.6 Tm 0 Tc [( . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .)-468(+)-7(V)]TJ 5.95 0 0 6.4 302.4 545.04 Tm (S)Tj 8.85 0 0 9.5 54 535.68 Tm 0.007 Tc -0.007 Tw [(Reference)-177( .)7( .)7( .)7( .)7( .)7( .)7( .)7( .)7( .)7( .)7( .)7( .)7( .)7( .)7( .)7( .)7( .)7( .)7( .)7( .)7( .)7( .)7( .)7( .)7( .)7( .)7( .)7( .)7( .)7( .)7( .)-412(+14 )-12(V )-12(to )-12(\320V)]TJ 5.95 0 0 6.4 303 534 Tm (S)Tj 8.85 0 0 9.5 54 524.64 Tm 0.006 Tc -0.006 Tw [(SIN)-444( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)-425(+14 )-12(V )-12(to )-12(\320V)]TJ 5.95 0 0 6.4 303 523.08 Tm (S)Tj 8.85 0 0 9.5 54 513.6 Tm [(COS)-641( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)-425(+14 )-12(V )-12(to )-12(\320V)]TJ 5.95 0 0 6.4 303 512.04 Tm (S)Tj 8.85 0 0 9.5 54 502.68 Tm [(Any )-19(Logical )-19(Input )-19(..)-154( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)-229(\3200.4 )-13(V )-13(dc )-13(to )-13(+V)]TJ 5.95 0 0 6.4 290.64 501 Tm (L)Tj 8.85 0 0 9.5 294.6 502.68 Tm 0.007 Tw [( dc)]TJ -27.186 -1.162 TD -0.006 Tw [(Demodulator )-39(Input)-432( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)-417(+14 )-12(V )-12(to )-12(\320V)]TJ 5.95 0 0 6.4 303 490.08 Tm (S)Tj 8.85 0 0 9.5 54 480.6 Tm [(Integrator )-35(Input)-246( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)-416(+14 )-12(V )-12(to )-12(\320V)]TJ 5.95 0 0 6.4 303 479.04 Tm (S)Tj 8.85 0 0 9.5 54 469.68 Tm [(VCO )-23(Input)-642( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)-414(+14 )-12(V )-12(to )-12(\320V)]TJ 5.95 0 0 6.4 303 468 Tm (S)Tj 8.85 0 0 9.5 54 458.64 Tm [(Power )-39(Dissipation)-456( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)-184(860 )-15(mW)]TJ T* 0.039 Tw (Operating Temperature)Tj 1.003 -1.149 TD -0.006 Tw [(Commercial )-24(\(JD, )-24(KD, )-24(LD\))-560( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)-665(0)]TJ /F22 1 Tf 21.898 0 TD (\260)Tj /F14 1 Tf 0.393 0 TD 0.012 Tw (C to +70)Tj /F22 1 Tf 4.054 0 TD (\260)Tj /F14 1 Tf 0.407 0 TD (C)Tj -26.753 -1.162 TD -0.006 Tw [(Industrial )-29(\(AD, )-29(BD\))-183( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)-161(\32040)]TJ /F22 1 Tf 21.898 0 TD (\260)Tj /F14 1 Tf 0.393 0 TD 0.012 Tw (C to +85)Tj /F22 1 Tf 4.054 0 TD (\260)Tj /F14 1 Tf 0.407 0 TD (C)Tj -26.753 -1.162 TD 0.046 Tw (Extended \(SD, SE, TD, TE, UD, UE\) . . . \32055)Tj /F22 1 Tf 21.275 0 TD (\260)Tj /F14 1 Tf 0.407 0 TD (C to +125)Tj /F22 1 Tf 4.664 0 TD (\260)Tj /F14 1 Tf 0.407 0 TD (C)Tj /F22 1 Tf -27.756 -1.149 TD (q)Tj /F14 1 Tf 5.95 0 0 6.4 58.68 402 Tm (JC)Tj 1.15 0.844 TD (3)Tj /F16 1 Tf 8.85 0 0 9.5 68.76 403.68 Tm ( )Tj /F14 1 Tf 0.298 0 TD -0.006 Tw [(\(40-Pin )-18(DIP )-18(883 )-18(Parts )-18(Only\))-533( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)-407(1)-2(1)]TJ /F22 1 Tf 24.095 0 TD (\260)Tj /F14 1 Tf 0.407 0 TD 0.008 Tc (C/W)Tj /F22 1 Tf -26.468 -1.162 TD (q)Tj /F14 1 Tf 5.95 0 0 6.4 58.68 391.08 Tm 0.006 Tc (JC)Tj 1.15 0.825 TD (3)Tj /F16 1 Tf 8.85 0 0 9.5 68.76 392.64 Tm ( )Tj /F14 1 Tf 0.298 0 TD [(\(44-Pin )-18(LCC )-18(883 )-18(Parts )-18(Only\))-148( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)-407(1)-2(0)]TJ /F22 1 Tf 24.095 0 TD (\260)Tj /F14 1 Tf 0.407 0 TD 0.008 Tc (C/W)Tj -26.468 -1.162 TD 0.006 Tc [(Storage )-27(Temperature )-27(\(All )-27(Grades\))-138( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)-180(\32065)]TJ /F22 1 Tf 22.346 0 TD (\260)Tj /F14 1 Tf 0.393 0 TD 0.014 Tw (C to +150)Tj /F22 1 Tf 4.61 0 TD (\260)Tj /F14 1 Tf 0.407 0 TD (C)Tj -27.756 -1.149 TD -0.006 Tw [(Lead )-24(Temperature )-24(\(Soldering, )-24(10 )-24(sec\))-134( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)6( .)-449(+300)]TJ /F22 1 Tf 27.349 0 TD (\260)Tj /F14 1 Tf 0.407 0 TD (C)Tj /F12 1 Tf 6.95 0 0 7.5 54 351 Tm 0.013 Tc (CAUTION:)Tj /F14 1 Tf 0 -1.2 TD 0.011 Tc -0.104 Tw [(1.)-515(Absolute Maximum Ratings are those values beyond which damage to the device)]TJ 1.295 -1.2 TD 0.02 Tw (may occur.)Tj -1.295 -1.2 TD 0.014 Tw [(2.)-515(Correct polarity voltages must be maintained on the +V)]TJ 4.65 0 0 5 237.84 322.68 Tm (S )Tj 6.95 0 0 7.5 242.04 324 Tm (and \320V)Tj 4.65 0 0 5 264.24 322.68 Tm (S)Tj 6.95 0 0 7.5 267.12 324 Tm [( pins.)]TJ -30.665 -1.2 TD 0.018 Tw [(3.)-515(With reference to Appendix C of MIL-M-38510.)]TJ /F12 1 Tf 8.35 0 0 9 145.92 293.04 Tm 0 Tc -0.001 Tw (Bit Weight Table)Tj ET 0.48 w BX /GS1 gs EX 54 283.92 m 306.48 283.92 l S BT 7.45 0 0 8 57.72 273.24 Tm BX /GS2 gs EX [(Binary)-2223(Resolution)-1152(Degrees)-3049(Minutes)-3174(Seconds)]TJ ET BX /GS1 gs EX 54 257.4 m 306.48 257.4 l S BT 7.45 0 0 8 57.72 263.16 Tm BX /GS2 gs EX -0.002 Tw [(Bits \(N\))-1692(\(2)]TJ 5 0 0 5.4 105.96 266.28 Tm (N)Tj 7.45 0 0 8 110.04 263.16 Tm [(\))-4415(/Bit)-5206(/Bit)-5351(/Bit)]TJ /F14 1 Tf -6.217 -2.175 TD 0.012 Tc [(0)-6668(1)-3253(360.0)-4414(21600.0)-3430(1296000.0)]TJ 0 -1.245 TD 0.013 Tc [(1)-6667(2)-3252(180.0)-4413(10800.0)-3928(648000.0)]TJ 0 -1.26 TD 0.014 Tc [(2)-6666(4)-3751(90.0)-4966(5400.0)-3982(324000.0)]TJ 0 -1.245 TD [(3)-6666(8)-3751(45.0)-4966(2700.0)-3982(162000.0)]TJ ET BX /GS1 gs EX 54 201.24 m 306.48 201.24 l S BT 7.45 0 0 8 63.72 205.8 Tm BX /GS2 gs EX [(4)-6166(1)-47(6)-3635(22.5)-4965(1350.0)-4480(81000.0)]TJ -0.129 -2.19 TD 0.016 Tc [(5)-6294(3)-46(2)-3634(11.25)-4899(675.0)-4534(40500.0)]TJ 0 -1.245 TD 0.017 Tc [(6)-6293(6)-45(4)-4133(5.625)-4388(337.5)-4533(20250.0)]TJ T* 0.016 Tc [(7)-5794(1)-13(2)-13(8)-4086(2.8125)-3824(168.75)-3969(10125.0)]TJ 0 -1.26 TD 0.018 Tc [(8)-5792(2)-11(5)-11(6)-4084(1.40625)-3757(84.375)-3950(5062.5)]TJ ET BX /GS1 gs EX 54 143.76 m 306.48 143.76 l S BT 7.45 0 0 8 62.76 148.32 Tm BX /GS2 gs EX [(9)-5793(5)-12(1)-12(2)-4085(0.703125)-3193(42.1875)-3386(2531.25)]TJ -0.37 -2.19 TD 0.016 Tc [(10)-5101(1024)-4031(0.3515625)-2629(21.09375)-2829(1265.625)]TJ 0 -1.245 TD 0.017 Tc [(11)-5100(2048)-4030(0.1757813)-2628(10.546875)-2762(632.8125)]TJ T* [(12)-5100(4096)-4030(0.0878906)-3127(5.273438)-2817(316.40625)]TJ 0 -1.26 TD [(13)-5100(8192)-4030(0.0439453)-3127(2.636719)-2817(158.20313)]TJ ET BX /GS1 gs EX 54 86.28 m 306.48 86.28 l S BT 7.45 0 0 8 60 90.84 Tm BX /GS2 gs EX 0.012 Tc (14)Tj 1 g BX /GS1 gs EX 5.235 0 TD (1)Tj 0 0 0 1 k BX /GS2 gs EX 0.564 0 TD 0.017 Tc [(16384)-3927(0.0219727)-3127(1.318359)-3316(79.10156)]TJ -5.799 -2.175 TD [(1)5(5)-4601(32768)-3975(0.0109836)-3127(0.659180)-3316(39.55078)]TJ 0 -1.26 TD [(1)5(6)-4601(65536)-3975(0.0054932)-3127(0.329590)-3316(19.77539)]TJ 0 -1.245 TD 0.015 Tc [(17)-4104(131072)-3922(0.0027466)-3129(0.164795)]TJ 28.639 0 TD 0.024 Tc (9.88770)Tj ET BX /GS1 gs EX 54 38.16 m 306.48 38.16 l S BT 7.45 0 0 8 60 42.72 Tm BX /GS2 gs EX 0.015 Tc [(18)-4104(262144)-3922(0.0013733)-3129(0.082397)]TJ 28.639 0 TD 0.024 Tc (4.94385)Tj ET BX /GS1 gs EX 95.76 284.04 m 95.76 38.04 l 141.72 284.04 m 141.72 38.04 l 194.76 284.04 m 194.76 38.52 l 248.28 284.04 m 248.28 38.04 l 306.72 284.04 m 306.72 38.52 l 54.24 284.04 m 54.24 38.04 l 347.04 269.76 206.52 -234.48 re 416.52 270 m 416.52 36 l S BT /F12 1 Tf 8.35 0 0 9 397.56 712.68 Tm BX /GS2 gs EX 0 Tc 0 Tw (PIN CONFIGURATIONS)Tj 0.819 -48.533 TD 0.001 Tw (PIN DESIGNATIONS)Tj /F10 1 Tf 4.65 0 0 5 353.52 262.8 Tm [(MNEMONIC)-9062(DESCRIPTION)]TJ 0 -1.944 TD 0.018 Tc 0 Tw [(REFERENCE I/P)-7216(REFERENCE SIGNAL INPUT)]TJ 0 -1.368 TD -0.004 Tw [(DEMOD I/P)-9292(DEMODULATOR INPUT)]TJ T* 0 Tw [(AC ERROR O/P)-7453(RATIO MULTIPLIER OUTPUT)]TJ 0 -1.344 TD 0.003 Tw [(COS)-12670(COSINE INPUT)]TJ 0 -1.368 TD -0.005 Tw [(ANALOG GROUND)-5495(POWER )-8(GROUND)]TJ T* 0.002 Tw [(SIGNAL GROUND)-6043(RESOLVER SIGNAL GROUND)]TJ 0 -1.344 TD 0 Tw [(SIN)-13057(SINE INPUT)]TJ 0 -1.368 TD (+V)Tj 3.15 0 0 3.4 359.76 204.6 Tm (S)Tj 4.65 0 0 5 422.52 205.44 Tm -0.002 Tw (POSITIVE POWER SUPPLY)Tj -14.839 -1.368 TD -0.001 Tw [(DB1\320DB16)-9744(PARALLEL OUTPUT DATA)]TJ 0 -1.344 TD (V)Tj 3.15 0 0 3.4 357 191.04 Tm (L)Tj 4.65 0 0 5 422.52 191.88 Tm 0 Tw (LOGIC POWER SUPPLY)Tj ET 0.28 w 372.24 189.54 m 353.52 189.54 l S BT /F23 1 Tf 4.65 0 0 5 353.52 185.04 Tm (ENABLE)Tj /F10 1 Tf 14.839 0 TD -0.001 Tw (LOGIC Hl-OUTPUT DATA IN HIGH IMPEDANCE)Tj 0 -1.368 TD 0 Tw (STATE, LOGIC LO PRESENTS DATA TO THE)Tj 0 -1.344 TD 0.003 Tw (OUTPUT LATCHES)Tj -14.839 -1.368 TD 0.001 Tw [(BYTE )6(SELECT)-8087(LOGIC Hl-MOST SIGNIFICANT BYTE TO DB1\320DB8)]TJ 14.839 -1.368 TD 0 Tw (LOGIC LO-LEAST SlGNlFlCANT BYTE TO DB1\320DB8)Tj ET 370.8 155.58 m 353.52 155.58 l S BT /F23 1 Tf 4.65 0 0 5 353.52 151.08 Tm (INHIBIT)Tj /F10 1 Tf 14.839 0 TD (LOGIC LO INHIBITS DATA TRANSFER TO)Tj 0 -1.368 TD 0.003 Tw (OUTPUT LATCHES)Tj -14.839 -1.368 TD 0.001 Tw [(DIGITAL GROUND)-5860(DlGITAL GROUND)]TJ 0 -1.344 TD -0.001 Tw [(SC1\320SC2)-10433(SELECT CONVERTER RESOLUTION)]TJ 0 -1.368 TD [(DATA LOAD)-8840(LOGIC LO DB1\320DB16 INPUTS LOGIC Hl DB1\320D16)]TJ 14.839 -1.368 TD 0.019 Tc (OUTPUTS)Tj -14.839 -1.344 TD 0.018 Tc [(BUSY)-11992(CONVERTER BUSY, DATA NOT VALID WHILE)]TJ 14.839 -1.368 TD -0.003 Tw (BUSY Hl)Tj -14.839 -1.368 TD 0 Tw [(DIRECTION)-9281(LOGIC STATE DEFINES DIRECTION)]TJ 14.839 -1.344 TD -0.001 Tw (OF INPUT SIGNAL ROTATION)Tj -14.839 -1.368 TD -0.002 Tw [(RIPPLE CLOCK)-7678(POSITIVE PULSE WHEN CONVERTER OUTPUT)]TJ 14.839 -1.368 TD -0.001 Tw (CHANGES FROM 1S TO ALL 0S OR VICE VERSA)Tj -14.839 -1.344 TD (\320V)Tj 3.15 0 0 3.4 359.4 68.64 Tm (S)Tj 4.65 0 0 5 422.52 69.48 Tm 0 Tw (NEGATIVE POWER SUPPLY)Tj -14.839 -1.368 TD -0.001 Tw [(VCO I/P)-10937(VCO INPUT)]TJ T* 0.002 Tw [(INTEGRATOR I/P)-6473(INTEGRATOR INPUT)]TJ 0 -1.344 TD -0.005 Tw [(INTEGRATOR O/P)-5979(INTEGRATOR )-10(OUTPUT)]TJ 0 -1.368 TD -0.001 Tw [(DEMOD O/P)-8786(DEMODULATOR OUTPUT)]TJ ET q 414 704.04 144 -210.24 re W n BT /F20 1 Tf 4.845 0 0 5.107 444.444 589.708 Tm 0 g BX /GS1 gs EX 0.007 Tc (DB3)Tj 13.747 9.89 TD -0.001 Tc 0.008 Tw (RIPPLE CLK)Tj /F21 1 Tf -0.05 -13.704 TD 0.001 Tc (INHIBIT)Tj 0.05 -3.865 TD 0.002 Tc (ENABLE)Tj /F20 1 Tf 0.05 27.158 TD 0 Tc 0.006 Tw (DEMOD O/P)Tj 0 -1.857 TD 0.001 Tc 0.011 Tw (INTEGRATOR O/P)Tj 0 -5.873 TD -0.003 Tc (\320V)Tj 4.038 0 0 4.256 517.192 648.678 Tm (S)Tj 4.845 0 0 5.107 511.054 589.708 Tm 0.003 Tc (SC1)Tj 0.05 7.781 TD (DIRECTION)Tj T* 0 Tc 0.007 Tw (INTEGRATOR I/P)Tj -0.05 -2.008 TD -0.001 Tc 0 Tw (VCO I/P)Tj 0 -7.631 TD 0.003 Tc (BUSY)Tj 0 -1.908 TD 0.016 Tw (DATA LOAD)Tj T* (SC2)Tj 0.05 -4.016 TD 0.001 Tc 0.01 Tw (DIGITAL GND)Tj 0 -3.865 TD -0.001 Tc (BYTE SELECT)Tj -0.05 -3.865 TD (V)Tj 4.038 0 0 4.256 514.313 539.456 Tm (L)Tj 4.845 0 0 5.107 511.297 530.739 Tm 0 Tc 0.008 Tw (DB16 LSB)Tj -0.05 -3.815 TD 0.003 Tc (DB14)Tj 0 1.908 TD (DB15)Tj 0 -3.815 TD (DB13)Tj -13.747 13.454 TD 0.007 Tc (DB5)Tj 0 -3.865 TD (DB7)Tj -5.45 27.008 TD -0.002 Tc 0.006 Tw (REFERENCE I/P)Tj 2.333 -1.807 TD -0.001 Tc 0 Tw (DEMOD I/P)Tj -1.588 -5.924 TD 0.002 Tc 0.02 Tw (ANALOG GND)Tj 0.566 -1.958 TD 0.001 Tc 0.013 Tw (SIGNAL GND)Tj 4.375 -1.807 TD -0.001 Tc (SIN)Tj -5.373 7.882 TD 0.01 Tw (AC ERROR O/P)Tj 5.018 -2.209 TD 0.011 Tc (COS)Tj 0.325 -7.43 TD -0.005 Tc (+V)Tj 4.038 0 0 4.256 451.459 619.706 Tm (S)Tj 4.845 0 0 5.107 432.847 610.476 Tm -0.001 Tc 0.004 Tw (MSB DB1)Tj 2.394 -2.008 TD 0.007 Tc (DB2)Tj 0 -3.966 TD (DB4)Tj 0 -3.865 TD (DB6)Tj T* (DB8)Tj 0 -1.908 TD (DB9)Tj -0.554 -3.765 TD 0.003 Tc (DB11)Tj 0 1.857 TD (DB10)Tj 0 -3.865 TD (DB12)Tj 3.33 13.504 TD 0 Tc (13)Tj ET 0 G 2 J 0.499 w 14.3 M BX /GS3 gs EX q 0.974 0 0 -1.0267 0 0 cm 468.576 -571.034 53.327 7.958 re S Q BT 4.845 0 0 5.107 502.166 589.708 Tm BX /GS1 gs EX (30)Tj -8.809 19.528 TD (1)Tj -0.05 -1.908 TD (2)Tj 8.859 1.908 TD (40)Tj 0 -1.908 TD (39)Tj ET BX /GS3 gs EX q 0.974 0 0 -1.0267 0 0 cm 468.576 -668.14 53.327 7.96 re 468.576 -677.692 53.327 7.96 re S Q BT 4.845 0 0 5.107 459.238 649.447 Tm BX /GS1 gs EX (5)Tj 0 -1.958 TD (6)Tj 0 -1.908 TD (7)Tj 8.859 3.815 TD (36)Tj 0.05 -1.707 TD (35)Tj -0.05 -2.108 TD (34)Tj ET BX /GS3 gs EX q 0.974 0 0 -1.0267 0 0 cm 468.576 -629.139 53.327 7.96 re 468.576 -638.689 53.327 7.958 re 468.576 -619.587 53.327 7.958 re S Q BT 4.845 0 0 5.107 459.482 668.933 Tm BX /GS1 gs EX (3)Tj -0.05 -1.908 TD (4)Tj 8.859 1.908 TD (38)Tj 0 -1.908 TD (37)Tj ET BX /GS3 gs EX q 0.974 0 0 -1.0267 0 0 cm 468.576 -648.242 53.327 7.96 re 468.576 -657.794 53.327 7.96 re S Q BT 4.845 0 0 5.107 459.238 619.962 Tm BX /GS1 gs EX 8.303 Tc [(83)8303(3)]TJ ET BX /GS3 gs EX q 0.974 0 0 -1.0267 0 0 cm 468.576 -610.037 53.327 7.96 re S Q BT 4.845 0 0 5.107 459.238 610.22 Tm BX /GS1 gs EX [(93)8303(2)]TJ ET BX /GS3 gs EX q 0.974 0 0 -1.0267 0 0 cm 468.576 -600.485 53.327 7.96 re S Q BT 4.845 0 0 5.107 457.896 600.477 Tm BX /GS1 gs EX 0 Tc [(10)-8075(31)]TJ ET BX /GS3 gs EX q 0.974 0 0 -1.0267 0 0 cm 468.576 -590.932 53.327 7.958 re 468.576 -580.586 53.327 7.96 re S Q BT 4.845 0 0 5.107 458.139 589.708 Tm BX /GS1 gs EX (11)Tj -0.05 -1.908 TD [(12)-8024(29)]TJ ET BX /GS3 gs EX q 0.974 0 0 -1.0267 0 0 cm 468.576 -551.932 53.327 7.958 re S Q BT 4.845 0 0 5.107 502.166 570.223 Tm BX /GS1 gs EX (28)Tj ET BX /GS3 gs EX q 0.974 0 0 -1.0267 0 0 cm 468.576 -561.484 53.327 7.96 re S Q BT 4.845 0 0 5.107 457.896 560.48 Tm BX /GS1 gs EX (14)Tj 9.137 -0.05 TD (27)Tj -9.137 -1.857 TD (15)Tj ET BX /GS3 gs EX q 0.974 0 0 -1.0267 0 0 cm 468.576 -532.829 53.327 7.96 re S Q BT 4.845 0 0 5.107 502.166 550.481 Tm BX /GS1 gs EX (26)Tj ET BX /GS3 gs EX q 0.974 0 0 -1.0267 0 0 cm 468.576 -542.381 53.327 7.96 re S Q BT 4.845 0 0 5.107 457.896 540.481 Tm BX /GS1 gs EX (16)Tj 9.137 0.05 TD (25)Tj -9.137 -1.958 TD (17)Tj ET BX /GS3 gs EX q 0.974 0 0 -1.0267 0 0 cm 468.576 -513.727 53.327 7.96 re S Q BT 4.845 0 0 5.107 502.166 530.995 Tm BX /GS1 gs EX (24)Tj ET BX /GS3 gs EX q 0.974 0 0 -1.0267 0 0 cm 468.576 -523.277 53.327 7.958 re S Q BT 4.845 0 0 5.107 457.896 520.996 Tm BX /GS1 gs EX [(18)-8024(23)]TJ 0.05 -1.857 TD (19)Tj ET BX /GS3 gs EX q 0.974 0 0 -1.0267 0 0 cm 468.576 -494.624 53.327 7.96 re S Q BT 4.845 0 0 5.107 502.166 511.253 Tm BX /GS1 gs EX (22)Tj ET BX /GS3 gs EX q 0.974 0 0 -1.0267 0 0 cm 468.576 -504.175 53.327 7.958 re S Q BT 4.845 0 0 5.107 458.139 501.254 Tm BX /GS1 gs EX (20)Tj 9.137 0.05 TD (21)Tj ET 1 g BX /GS3 gs EX 464.156 701.484 36.439 -207.562 re f* 0.749 w q 0.974 0 0 -1.0267 0 0 cm 476.534 -683.262 37.411 202.17 re S Q 0 g 468.422 692.904 m 468.422 692.904 l 468.422 692.453 468.074 692.086 467.646 692.086 c 467.218 692.086 466.871 692.453 466.871 692.904 c 466.871 693.355 467.218 693.721 467.646 693.721 c 468.074 693.721 468.422 693.355 468.422 692.904 c f* 0 J 1 j 0.999 w q 0.974 0 0 -1.0267 0 0 cm 480.914 -674.905 m 480.914 -674.905 l 480.914 -674.465 480.557 -674.109 480.118 -674.109 c 479.678 -674.109 479.322 -674.465 479.322 -674.905 c 479.322 -675.344 479.678 -675.701 480.118 -675.701 c 480.557 -675.701 480.914 -675.344 480.914 -674.905 c s Q BT 4.845 0 0 5.107 471.381 596.118 Tm BX /GS1 gs EX -0.002 Tc 0.002 Tw (TOP VIEW)Tj -0.789 -1.1 TD 0.001 Tc -0.005 Tw (\(Not to Scale\))Tj ET 1 g BX /GS3 gs EX 478.887 703.425 7.751 -3.269 re f* 1 G 2 J 0 j 0.499 w q 0.974 0 0 -1.0267 0 0 cm 491.658 -685.153 7.958 3.184 re S 0 G 0 J 1 j 0.749 w 499.618 -683.561 m 499.618 -681.378 497.822 -679.581 495.639 -679.581 c 493.456 -679.581 491.659 -681.378 491.659 -683.561 c S Q BT 6.461 0 0 6.81 468.686 603.816 Tm 0 g BX /GS1 gs EX -0.002 Tc (AD2S80A)Tj ET Q BT /F20 1 Tf 4.488 0 0 4.532 349.526 307.077 Tm 0 g BX /GS1 gs EX -0.001 Tc 0.009 Tw (NC = NO CONNECT)Tj -1.161 26.456 TD (SIN)Tj -0.131 -1.556 TD -0.005 Tc (+V)Tj 3.74 0 0 3.777 349.3 419.013 Tm (S)Tj 4.488 0 0 4.532 343.028 395.579 Tm 0.007 Tc (DB2)Tj 0.504 3.464 TD 0.021 Tc (NC)Tj -2.948 -1.757 TD -0.001 Tc 0.004 Tw (MSB DB1)Tj 30.398 5.221 TD -0.003 Tc (\320V)Tj 3.74 0 0 3.777 473.949 426.066 Tm (S)Tj 4.488 0 0 4.532 468.489 419.013 Tm 0 Tc 0.011 Tw (RIPPLE CLOCK)Tj 0 -5.171 TD 0.002 Tc 0.016 Tw (DATA LOAD)Tj 0 3.464 TD (DIRECTION)Tj 0 -1.757 TD (BUSY)Tj 0 -5.171 TD (SC2)Tj 0 1.707 TD 0.021 Tc (NC)Tj 0 -5.221 TD 0.001 Tc 0.01 Tw (DIGITAL GND)Tj 0 1.958 TD 0.003 Tc (SC1)Tj 0 -5.422 TD 0.021 Tc (NC)Tj /F21 1 Tf -0.1 1.707 TD 0.001 Tc (INHIBIT)Tj /F20 1 Tf -27.853 5.421 TD 0.007 Tc (DB4)Tj 0 1.556 TD (DB3)Tj 0 -5.221 TD (DB6)Tj -0.05 1.958 TD (DB5)Tj 0.05 -5.422 TD (DB8)Tj 0 1.757 TD (DB7)Tj 10.935 18.675 TD 2.958 Tc (31)Tj 1.757 0 TD (2)Tj -3.464 0 TD 5.994 Tc [(44)5994(4)5249(4)5994(3)5449(4)5994(2)5299(4)5994(1)5349(4)5994(0)]TJ -1.757 0 TD (5)Tj -1.506 0 TD (6)Tj -3.313 -3.062 TD (7)Tj -0.327 -5.221 TD 0 Tc (10)Tj 0.327 3.665 TD (8)Tj 0 -1.908 TD (9)Tj -0.327 -3.464 TD (11)Tj 0 -3.313 TD (13)Tj 0 1.556 TD (12)Tj 0 -3.263 TD (14)Tj 0 -1.958 TD (15)Tj 0 -3.464 TD (17)Tj 0 1.757 TD (16)Tj 3.414 -4.97 TD [(18)-695(19)-7573(24)]TJ 8.685 0 TD (23)Tj -5.221 0 TD [(20)-2452(22)]TJ 1.807 0 TD [(21)-10936(28)]TJ 10.341 0 TD (27)Tj -1.657 0 TD (26)Tj -1.707 0 TD (25)Tj 8.233 10.341 TD (33)Tj 0 1.556 TD (34)Tj 0 1.757 TD (35)Tj 0 1.707 TD (36)Tj 0 1.757 TD (37)Tj 0 1.707 TD (38)Tj 0 1.757 TD (39)Tj 0 -17.369 TD (29)Tj 0 1.757 TD (30)Tj 0 1.707 TD (31)Tj 0 1.958 TD (32)Tj ET 0.449 g BX /GS3 gs EX 363.833 438.778 m 453.597 438.778 l 458.624 432.977 l 458.624 344.511 l 452.879 339.435 l 365.269 339.435 l 360.243 344.511 l 360.243 435.152 l 363.833 438.778 l f* 1 g 363.833 438.597 m 453.597 438.597 l 458.624 432.796 l 458.624 344.329 l 452.879 339.254 l 365.269 339.254 l 360.243 344.329 l 360.243 434.971 l 363.833 438.597 l f* 0 G 2 J 2 j 0.679 w q 0.9951 0 0 -1.0049 0 0 cm 365.607 -436.468 m 455.809 -436.468 l 460.86 -430.695 l 460.86 -342.659 l 455.087 -337.607 l 367.051 -337.607 l 361.999 -342.659 l 361.999 -432.86 l 365.607 -436.468 l s Q 384.676 339.89 3.677 -1.45 re f* 1 G 0 j 0.226 w 14.3 M q 0.9951 0 0 -1.0049 0 0 cm 386.552 -338.24 3.695 1.443 re S Q 384.389 339.163 m 384.389 340.356 385.362 341.338 386.543 341.338 c 387.725 341.338 388.698 340.356 388.698 339.163 c 384.389 339.163 l f* 384.389 339.163 m 384.389 340.356 385.362 341.338 386.543 341.338 c 387.725 341.338 388.698 340.356 388.698 339.163 c 384.389 339.163 l f* 0 G 0 J 1 j 0.453 w q 0.9951 0 0 -1.0049 0 0 cm 386.263 -337.517 m 386.263 -338.705 387.241 -339.682 388.428 -339.682 c 389.616 -339.682 390.593 -338.705 390.593 -337.517 c S Q 369.596 339.89 3.749 -1.45 re f* 1 G 2 J 0 j 0.226 w q 0.9951 0 0 -1.0049 0 0 cm 371.398 -338.24 3.768 1.443 re S Q 369.309 339.163 m 369.309 340.356 370.281 341.338 371.463 341.338 c 372.645 341.338 373.617 340.356 373.617 339.163 c 369.309 339.163 l f* 369.309 339.163 m 369.309 340.356 370.281 341.338 371.463 341.338 c 372.645 341.338 373.617 340.356 373.617 339.163 c 369.309 339.163 l f* 0 G 0 J 1 j 0.453 w q 0.9951 0 0 -1.0049 0 0 cm 371.11 -337.517 m 371.11 -338.705 372.087 -339.682 373.274 -339.682 c 374.462 -339.682 375.439 -338.705 375.439 -337.517 c S Q 400.476 339.89 3.677 -1.45 re f* 1 G 2 J 0 j 0.226 w q 0.9951 0 0 -1.0049 0 0 cm 402.429 -338.24 3.695 1.443 re S Q 400.187 339.163 m 400.187 340.356 401.16 341.338 402.342 341.338 c 403.523 341.338 404.496 340.356 404.496 339.163 c 400.187 339.163 l f* 400.187 339.163 m 400.187 340.356 401.16 341.338 402.342 341.338 c 403.523 341.338 404.496 340.356 404.496 339.163 c 400.187 339.163 l f* 0 G 0 J 1 j 0.453 w q 0.9951 0 0 -1.0049 0 0 cm 402.139 -337.517 m 402.139 -338.705 403.116 -339.682 404.304 -339.682 c 405.491 -339.682 406.469 -338.705 406.469 -337.517 c S Q 377.352 339.89 3.894 -1.45 re f* 1 G 2 J 0 j 0.226 w q 0.9951 0 0 -1.0049 0 0 cm 379.192 -338.24 3.913 1.443 re S Q 377.208 339.163 m 377.208 340.356 378.181 341.338 379.362 341.338 c 380.544 341.338 381.517 340.356 381.517 339.163 c 377.208 339.163 l f* 377.208 339.163 m 377.208 340.356 378.181 341.338 379.362 341.338 c 380.544 341.338 381.517 340.356 381.517 339.163 c 377.208 339.163 l f* 0 G 0 J 1 j 0.453 w q 0.9951 0 0 -1.0049 0 0 cm 379.047 -337.517 m 379.047 -338.705 380.025 -339.682 381.212 -339.682 c 382.4 -339.682 383.377 -338.705 383.377 -337.517 c S Q 392.576 339.89 3.677 -1.45 re f* 1 G 2 J 0 j 0.226 w q 0.9951 0 0 -1.0049 0 0 cm 394.49 -338.24 3.695 1.443 re S Q 392.288 339.163 m 392.288 340.356 393.261 341.338 394.443 341.338 c 395.624 341.338 396.597 340.356 396.597 339.163 c 392.288 339.163 l f* 392.288 339.163 m 392.288 340.356 393.261 341.338 394.443 341.338 c 395.624 341.338 396.597 340.356 396.597 339.163 c 392.288 339.163 l f* 0 G 0 J 1 j 0.453 w q 0.9951 0 0 -1.0049 0 0 cm 394.201 -337.517 m 394.201 -338.705 395.178 -339.682 396.366 -339.682 c 397.553 -339.682 398.531 -338.705 398.531 -337.517 c S Q 408.374 339.89 3.677 -1.45 re f* 1 G 2 J 0 j 0.226 w q 0.9951 0 0 -1.0049 0 0 cm 410.365 -338.24 3.695 1.443 re S Q 408.087 339.163 m 408.087 340.356 409.059 341.338 410.241 341.338 c 411.423 341.338 412.395 340.356 412.395 339.163 c 408.087 339.163 l f* 408.087 339.163 m 408.087 340.356 409.059 341.338 410.241 341.338 c 411.423 341.338 412.395 340.356 412.395 339.163 c 408.087 339.163 l f* 0 G 0 J 1 j 0.453 w q 0.9951 0 0 -1.0049 0 0 cm 410.077 -337.517 m 410.077 -338.705 411.054 -339.682 412.242 -339.682 c 413.429 -339.682 414.406 -338.705 414.406 -337.517 c S Q 415.483 339.89 3.677 -1.45 re f* 1 G 2 J 0 j 0.226 w q 0.9951 0 0 -1.0049 0 0 cm 417.509 -338.24 3.695 1.443 re S Q 415.268 339.163 m 415.268 340.356 416.24 341.338 417.422 341.338 c 418.604 341.338 419.576 340.356 419.576 339.163 c 415.268 339.163 l f* 415.268 339.163 m 415.268 340.356 416.24 341.338 417.422 341.338 c 418.604 341.338 419.576 340.356 419.576 339.163 c 415.268 339.163 l f* 0 G 0 J 1 j 0.453 w q 0.9951 0 0 -1.0049 0 0 cm 417.293 -337.517 m 417.293 -338.705 418.27 -339.682 419.458 -339.682 c 420.645 -339.682 421.622 -338.705 421.622 -337.517 c S Q 439.271 339.89 3.894 -1.45 re f* 1 G 2 J 0 j 0.226 w q 0.9951 0 0 -1.0049 0 0 cm 441.413 -338.24 3.913 1.443 re S Q 438.965 339.163 m 438.965 340.356 439.938 341.338 441.12 341.338 c 442.301 341.338 443.274 340.356 443.274 339.163 c 438.965 339.163 l f* 438.965 339.163 m 438.965 340.356 439.938 341.338 441.12 341.338 c 442.301 341.338 443.274 340.356 443.274 339.163 c 438.965 339.163 l f* 0 G 0 J 1 j 0.453 w q 0.9951 0 0 -1.0049 0 0 cm 441.106 -337.517 m 441.106 -338.705 442.083 -339.682 443.271 -339.682 c 444.458 -339.682 445.436 -338.705 445.436 -337.517 c S Q 423.454 339.89 3.894 -1.45 re f* 1 G 2 J 0 j 0.226 w q 0.9951 0 0 -1.0049 0 0 cm 425.519 -338.24 3.913 1.443 re S Q 423.167 339.163 m 423.167 340.356 424.14 341.338 425.321 341.338 c 426.503 341.338 427.476 340.356 427.476 339.163 c 423.167 339.163 l f* 423.167 339.163 m 423.167 340.356 424.14 341.338 425.321 341.338 c 426.503 341.338 427.476 340.356 427.476 339.163 c 423.167 339.163 l f* 0 G 0 J 1 j 0.453 w q 0.9951 0 0 -1.0049 0 0 cm 425.231 -337.517 m 425.231 -338.705 426.208 -339.682 427.395 -339.682 c 428.583 -339.682 429.56 -338.705 429.56 -337.517 c S Q 431.389 339.89 3.677 -1.45 re f* 1 G 2 J 0 j 0.226 w q 0.9951 0 0 -1.0049 0 0 cm 433.493 -338.24 3.695 1.443 re S Q 431.066 339.163 m 431.066 340.356 432.039 341.338 433.221 341.338 c 434.402 341.338 435.375 340.356 435.375 339.163 c 431.066 339.163 l f* 431.066 339.163 m 431.066 340.356 432.039 341.338 433.221 341.338 c 434.402 341.338 435.375 340.356 435.375 339.163 c 431.066 339.163 l f* 0 G 0 J 1 j 0.453 w q 0.9951 0 0 -1.0049 0 0 cm 433.168 -337.517 m 433.168 -338.705 434.146 -339.682 435.333 -339.682 c 436.521 -339.682 437.498 -338.705 437.498 -337.517 c S Q 446.471 339.89 4.309 -1.45 re f* 1 G 2 J 0 j 0.226 w q 0.9951 0 0 -1.0049 0 0 cm 448.648 -338.24 4.33 1.443 re S Q 446.756 339.163 m 446.756 340.356 447.729 341.338 448.911 341.338 c 450.093 341.338 451.065 340.356 451.065 339.163 c 446.756 339.163 l f* 446.756 339.163 m 446.756 340.356 447.729 341.338 448.911 341.338 c 450.093 341.338 451.065 340.356 451.065 339.163 c 446.756 339.163 l f* 0 G 0 J 1 j 0.453 w q 0.9951 0 0 -1.0049 0 0 cm 448.935 -337.517 m 448.935 -338.705 449.912 -339.682 451.1 -339.682 c 452.287 -339.682 453.265 -338.705 453.265 -337.517 c S Q 383.671 438.87 4.307 -1.45 re f* 1 G 2 J 0 j 0.226 w q 0.9951 0 0 -1.0049 0 0 cm 385.542 -436.74 4.328 1.443 re S Q 387.98 438.868 m 387.98 437.675 387.007 436.693 385.825 436.693 c 384.643 436.693 383.671 437.675 383.671 438.869 c 387.98 438.868 l f* 387.98 438.868 m 387.98 437.675 387.007 436.693 385.825 436.693 c 384.643 436.693 383.671 437.675 383.671 438.869 c 387.98 438.868 l f* 0 G 0 J 1 j 0.453 w q 0.9951 0 0 -1.0049 0 0 cm 389.871 -436.739 m 389.871 -435.551 388.894 -434.574 387.707 -434.574 c 386.519 -434.574 385.542 -435.551 385.542 -436.739 c S Q 368.589 438.87 4.309 -1.45 re f* 1 G 2 J 0 j 0.226 w q 0.9951 0 0 -1.0049 0 0 cm 370.387 -436.74 4.33 1.443 re S Q 372.899 438.868 m 372.899 437.675 371.927 436.693 370.745 436.693 c 369.563 436.693 368.591 437.675 368.591 438.869 c 372.899 438.868 l f* 372.899 438.868 m 372.899 437.675 371.927 436.693 370.745 436.693 c 369.563 436.693 368.591 437.675 368.591 438.869 c 372.899 438.868 l f* 0 G 0 J 1 j 0.453 w q 0.9951 0 0 -1.0049 0 0 cm 374.718 -436.739 m 374.718 -435.551 373.74 -434.574 372.553 -434.574 c 371.365 -434.574 370.388 -435.551 370.388 -436.739 c S Q 399.469 438.87 4.309 -1.45 re f* 1 G 2 J 0 j 0.226 w q 0.9951 0 0 -1.0049 0 0 cm 401.417 -436.74 4.33 1.443 re S Q 403.778 438.868 m 403.778 437.675 402.805 436.693 401.624 436.693 c 400.442 436.693 399.469 437.675 399.469 438.869 c 403.778 438.868 l f* 403.778 438.868 m 403.778 437.675 402.805 436.693 401.624 436.693 c 400.442 436.693 399.469 437.675 399.469 438.869 c 403.778 438.868 l f* 0 G 0 J 1 j 0.453 w q 0.9951 0 0 -1.0049 0 0 cm 405.747 -436.739 m 405.747 -435.551 404.77 -434.574 403.582 -434.574 c 402.395 -434.574 401.417 -435.551 401.417 -436.739 c S Q 376.489 438.87 4.309 -1.45 re f* 1 G 2 J 0 j 0.226 w q 0.9951 0 0 -1.0049 0 0 cm 378.325 -436.74 4.33 1.443 re S Q 380.799 438.868 m 380.799 437.675 379.826 436.693 378.644 436.693 c 377.462 436.693 376.49 437.675 376.49 438.869 c 380.799 438.868 l f* 380.799 438.868 m 380.799 437.675 379.826 436.693 378.644 436.693 c 377.462 436.693 376.49 437.675 376.49 438.869 c 380.799 438.868 l f* 0 G 0 J 1 j 0.453 w q 0.9951 0 0 -1.0049 0 0 cm 382.655 -436.739 m 382.655 -435.551 381.678 -434.574 380.491 -434.574 c 379.303 -434.574 378.326 -435.551 378.326 -436.739 c S Q 391.569 438.87 4.309 -1.45 re f* 1 G 2 J 0 j 0.226 w q 0.9951 0 0 -1.0049 0 0 cm 393.478 -436.74 4.33 1.443 re S Q 395.879 438.868 m 395.879 437.675 394.906 436.693 393.724 436.693 c 392.543 436.693 391.57 437.675 391.57 438.869 c 395.879 438.868 l f* 395.879 438.868 m 395.879 437.675 394.906 436.693 393.724 436.693 c 392.543 436.693 391.57 437.675 391.57 438.869 c 395.879 438.868 l f* 0 G 0 J 1 j 0.453 w q 0.9951 0 0 -1.0049 0 0 cm 397.809 -436.739 m 397.809 -435.551 396.832 -434.574 395.644 -434.574 c 394.457 -434.574 393.48 -435.551 393.48 -436.739 c S Q 407.367 438.87 4.309 -1.45 re f* 1 G 2 J 0 j 0.226 w q 0.9951 0 0 -1.0049 0 0 cm 409.354 -436.74 4.33 1.443 re S Q 411.677 438.868 m 411.677 437.675 410.705 436.693 409.523 436.693 c 408.341 436.693 407.369 437.675 407.369 438.869 c 411.677 438.868 l f* 411.677 438.868 m 411.677 437.675 410.705 436.693 409.523 436.693 c 408.341 436.693 407.369 437.675 407.369 438.869 c 411.677 438.868 l f* 0 G 0 J 1 j 0.453 w q 0.9951 0 0 -1.0049 0 0 cm 413.685 -436.739 m 413.685 -435.551 412.707 -434.574 411.52 -434.574 c 410.332 -434.574 409.355 -435.551 409.355 -436.739 c S Q 415.267 438.87 4.309 -1.45 re f* 1 G 2 J 0 j 0.226 w q 0.9951 0 0 -1.0049 0 0 cm 417.292 -436.74 4.33 1.443 re S Q 419.576 438.868 m 419.576 437.675 418.604 436.693 417.422 436.693 c 416.24 436.693 415.268 437.675 415.268 438.869 c 419.576 438.868 l f* 419.576 438.868 m 419.576 437.675 418.604 436.693 417.422 436.693 c 416.24 436.693 415.268 437.675 415.268 438.869 c 419.576 438.868 l f* 0 G 0 J 1 j 0.453 w q 0.9951 0 0 -1.0049 0 0 cm 421.622 -436.739 m 421.622 -435.551 420.645 -434.574 419.458 -434.574 c 418.27 -434.574 417.293 -435.551 417.293 -436.739 c S Q 438.247 438.87 4.309 -1.45 re f* 1 G 2 J 0 j 0.226 w q 0.9951 0 0 -1.0049 0 0 cm 440.384 -436.74 4.33 1.443 re S Q 442.556 438.868 m 442.556 437.675 441.583 436.693 440.402 436.693 c 439.22 436.693 438.247 437.675 438.247 438.869 c 442.556 438.868 l f* 442.556 438.868 m 442.556 437.675 441.583 436.693 440.402 436.693 c 439.22 436.693 438.247 437.675 438.247 438.869 c 442.556 438.868 l f* 0 G 0 J 1 j 0.453 w q 0.9951 0 0 -1.0049 0 0 cm 444.714 -436.739 m 444.714 -435.551 443.737 -434.574 442.549 -434.574 c 441.362 -434.574 440.384 -435.551 440.384 -436.739 c S Q 422.449 438.87 4.307 -1.45 re f* 1 G 2 J 0 j 0.226 w q 0.9951 0 0 -1.0049 0 0 cm 424.509 -436.74 4.328 1.443 re S Q 426.758 438.868 m 426.758 437.675 425.785 436.693 424.603 436.693 c 423.421 436.693 422.449 437.675 422.449 438.869 c 426.758 438.868 l f* 426.758 438.868 m 426.758 437.675 425.785 436.693 424.603 436.693 c 423.421 436.693 422.449 437.675 422.449 438.869 c 426.758 438.868 l f* 0 G 0 J 1 j 0.453 w q 0.9951 0 0 -1.0049 0 0 cm 428.839 -436.739 m 428.839 -435.551 427.861 -434.574 426.674 -434.574 c 425.486 -434.574 424.509 -435.551 424.509 -436.739 c S Q 430.347 438.87 4.309 -1.45 re f* 1 G 2 J 0 j 0.226 w q 0.9951 0 0 -1.0049 0 0 cm 432.445 -436.74 4.33 1.443 re S Q 434.657 438.868 m 434.657 437.675 433.684 436.693 432.502 436.693 c 431.321 436.693 430.348 437.675 430.348 438.869 c 434.657 438.868 l f* 434.657 438.868 m 434.657 437.675 433.684 436.693 432.502 436.693 c 431.321 436.693 430.348 437.675 430.348 438.869 c 434.657 438.868 l f* 0 G 0 J 1 j 0.453 w q 0.9951 0 0 -1.0049 0 0 cm 436.776 -436.739 m 436.776 -435.551 435.799 -434.574 434.611 -434.574 c 433.424 -434.574 432.447 -435.551 432.447 -436.739 c S Q 446.145 438.87 4.309 -1.45 re f* 1 G 2 J 0 j 0.226 w q 0.9951 0 0 -1.0049 0 0 cm 448.32 -436.74 4.33 1.443 re S Q 450.455 438.868 m 450.455 437.675 449.483 436.693 448.301 436.693 c 447.119 436.693 446.147 437.675 446.147 438.869 c 450.455 438.868 l f* 450.455 438.868 m 450.455 437.675 449.483 436.693 448.301 436.693 c 447.119 436.693 446.147 437.675 446.147 438.869 c 450.455 438.868 l f* 0 G 0 J 1 j 0.453 w q 0.9951 0 0 -1.0049 0 0 cm 452.652 -436.739 m 452.652 -435.551 451.674 -434.574 450.487 -434.574 c 449.299 -434.574 448.322 -435.551 448.322 -436.739 c S Q 359.255 414.396 1.436 -4.351 re f* 1 G 2 J 0 j 0.226 w q 0.9951 0 0 -1.0049 0 0 cm 361.007 -412.385 1.443 4.33 re S Q 359.973 414.395 m 361.155 414.395 362.128 413.413 362.128 412.22 c 362.128 411.027 361.155 410.045 359.973 410.045 c 359.973 414.395 l f* 359.973 414.395 m 361.155 414.395 362.128 413.413 362.128 412.22 c 362.128 411.027 361.155 410.045 359.973 410.045 c 359.973 414.395 l f* 0 G 0 J 1 j 0.453 w q 0.9951 0 0 -1.0049 0 0 cm 361.729 -412.384 m 362.916 -412.384 363.894 -411.407 363.894 -410.22 c 363.894 -409.032 362.916 -408.055 361.729 -408.055 c S Q 359.255 422.373 1.436 -4.351 re f* 1 G 2 J 0 j 0.226 w q 0.9951 0 0 -1.0049 0 0 cm 361.007 -420.324 1.443 4.33 re S Q 359.973 422.372 m 361.155 422.372 362.128 421.39 362.128 420.196 c 362.128 419.003 361.155 418.021 359.973 418.021 c 359.973 422.372 l f* 359.973 422.372 m 361.155 422.372 362.128 421.39 362.128 420.196 c 362.128 419.003 361.155 418.021 359.973 418.021 c 359.973 422.372 l f* 0 G 0 J 1 j 0.453 w q 0.9951 0 0 -1.0049 0 0 cm 361.729 -420.322 m 362.916 -420.322 363.894 -419.345 363.894 -418.157 c 363.894 -416.97 362.916 -415.992 361.729 -415.992 c S Q 359.255 430.349 1.436 -4.351 re f* 1 G 2 J 0 j 0.226 w q 0.9951 0 0 -1.0049 0 0 cm 361.007 -428.261 1.443 4.33 re S Q 359.973 430.348 m 361.155 430.348 362.128 429.366 362.128 428.173 c 362.128 426.98 361.155 425.997 359.973 425.997 c 359.973 430.348 l f* 359.973 430.348 m 361.155 430.348 362.128 429.366 362.128 428.173 c 362.128 426.98 361.155 425.997 359.973 425.997 c 359.973 430.348 l f* 0 G 0 J 1 j 0.453 w q 0.9951 0 0 -1.0049 0 0 cm 361.729 -428.26 m 362.916 -428.26 363.894 -427.283 363.894 -426.095 c 363.894 -424.908 362.916 -423.93 361.729 -423.93 c S Q 359.255 398.444 1.436 -4.351 re f* 1 G 2 J 0 j 0.226 w q 0.9951 0 0 -1.0049 0 0 cm 361.007 -396.51 1.443 4.33 re S Q 359.973 398.442 m 361.155 398.442 362.128 397.46 362.128 396.267 c 362.128 395.074 361.155 394.092 359.973 394.092 c 359.973 398.442 l f* 359.973 398.442 m 361.155 398.442 362.128 397.46 362.128 396.267 c 362.128 395.074 361.155 394.092 359.973 394.092 c 359.973 398.442 l f* 0 G 0 J 1 j 0.453 w q 0.9951 0 0 -1.0049 0 0 cm 361.729 -396.509 m 362.916 -396.509 363.894 -395.532 363.894 -394.344 c 363.894 -393.157 362.916 -392.179 361.729 -392.179 c S Q 359.255 406.419 1.436 -4.351 re f* 1 G 2 J 0 j 0.226 w q 0.9951 0 0 -1.0049 0 0 cm 361.007 -404.447 1.443 4.33 re S Q 359.973 406.419 m 361.155 406.419 362.128 405.437 362.128 404.243 c 362.128 403.05 361.155 402.068 359.973 402.068 c 359.973 406.419 l f* 359.973 406.419 m 361.155 406.419 362.128 405.437 362.128 404.243 c 362.128 403.05 361.155 402.068 359.973 402.068 c 359.973 406.419 l f* 0 G 0 J 1 j 0.453 w q 0.9951 0 0 -1.0049 0 0 cm 361.729 -404.447 m 362.916 -404.447 363.894 -403.469 363.894 -402.282 c 363.894 -401.094 362.916 -400.117 361.729 -400.117 c S Q 359.255 383.215 1.436 -4.349 re f* 1 G 2 J 0 j 0.226 w q 0.9951 0 0 -1.0049 0 0 cm 361.007 -381.355 1.443 4.328 re S Q 359.973 383.215 m 361.155 383.215 362.128 382.233 362.128 381.039 c 362.128 379.846 361.155 378.864 359.973 378.864 c 359.973 383.215 l f* 359.973 383.215 m 361.155 383.215 362.128 382.233 362.128 381.039 c 362.128 379.846 361.155 378.864 359.973 378.864 c 359.973 383.215 l f* 0 G 0 J 1 j 0.453 w q 0.9951 0 0 -1.0049 0 0 cm 361.729 -381.355 m 362.916 -381.355 363.894 -380.378 363.894 -379.19 c 363.894 -378.003 362.916 -377.025 361.729 -377.025 c S Q 359.255 391.192 1.436 -4.351 re f* 1 G 2 J 0 j 0.226 w q 0.9951 0 0 -1.0049 0 0 cm 361.007 -389.294 1.443 4.33 re S Q 359.973 391.191 m 361.155 391.191 362.128 390.209 362.128 389.016 c 362.128 387.822 361.155 386.84 359.973 386.84 c 359.973 391.191 l f* 359.973 391.191 m 361.155 391.191 362.128 390.209 362.128 389.016 c 362.128 387.822 361.155 386.84 359.973 386.84 c 359.973 391.191 l f* 0 G 0 J 1 j 0.453 w q 0.9951 0 0 -1.0049 0 0 cm 361.729 -389.293 m 362.916 -389.293 363.894 -388.315 363.894 -387.128 c 363.894 -385.94 362.916 -384.963 361.729 -384.963 c S Q 359.255 360.012 1.436 -4.351 re f* 1 G 2 J 0 j 0.226 w q 0.9951 0 0 -1.0049 0 0 cm 361.007 -358.265 1.443 4.33 re S Q 359.973 360.01 m 361.155 360.01 362.128 359.028 362.128 357.835 c 362.128 356.642 361.155 355.66 359.973 355.66 c 359.973 360.01 l f* 359.973 360.01 m 361.155 360.01 362.128 359.028 362.128 357.835 c 362.128 356.642 361.155 355.66 359.973 355.66 c 359.973 360.01 l f* 0 G 0 J 1 j 0.453 w q 0.9951 0 0 -1.0049 0 0 cm 361.729 -358.263 m 362.916 -358.263 363.894 -357.286 363.894 -356.099 c 363.894 -354.911 362.916 -353.934 361.729 -353.934 c S Q 359.255 367.262 1.436 -4.351 re f* 1 G 2 J 0 j 0.226 w q 0.9951 0 0 -1.0049 0 0 cm 361.007 -365.48 1.443 4.33 re S Q 359.973 367.262 m 361.155 367.262 362.128 366.28 362.128 365.086 c 362.128 363.893 361.155 362.911 359.973 362.911 c 359.973 367.262 l f* 359.973 367.262 m 361.155 367.262 362.128 366.28 362.128 365.086 c 362.128 363.893 361.155 362.911 359.973 362.911 c 359.973 367.262 l f* 0 G 0 J 1 j 0.453 w q 0.9951 0 0 -1.0049 0 0 cm 361.729 -365.48 m 362.916 -365.48 363.894 -364.502 363.894 -363.315 c 363.894 -362.127 362.916 -361.15 361.729 -361.15 c S Q 359.255 375.239 1.436 -4.351 re f* 1 G 2 J 0 j 0.226 w q 0.9951 0 0 -1.0049 0 0 cm 361.007 -373.419 1.443 4.33 re S Q 359.973 375.238 m 361.155 375.238 362.128 374.256 362.128 373.063 c 362.128 371.87 361.155 370.887 359.973 370.887 c 359.973 375.238 l f* 359.973 375.238 m 361.155 375.238 362.128 374.256 362.128 373.063 c 362.128 371.87 361.155 370.887 359.973 370.887 c 359.973 375.238 l f* 0 G 0 J 1 j 0.453 w q 0.9951 0 0 -1.0049 0 0 cm 361.729 -373.417 m 362.916 -373.417 363.894 -372.44 363.894 -371.252 c 363.894 -370.065 362.916 -369.088 361.729 -369.088 c S Q 359.255 352.035 1.436 -4.351 re f* 1 G 2 J 0 j 0.226 w q 0.9951 0 0 -1.0049 0 0 cm 361.007 -350.327 1.443 4.33 re S Q 359.973 352.034 m 361.155 352.034 362.128 351.052 362.128 349.859 c 362.128 348.665 361.155 347.683 359.973 347.683 c 359.973 352.034 l f* 359.973 352.034 m 361.155 352.034 362.128 351.052 362.128 349.859 c 362.128 348.665 361.155 347.683 359.973 347.683 c 359.973 352.034 l f* 0 G 0 J 1 j 0.453 w q 0.9951 0 0 -1.0049 0 0 cm 361.729 -350.326 m 362.916 -350.326 363.894 -349.348 363.894 -348.161 c 363.894 -346.973 362.916 -345.996 361.729 -345.996 c S Q 457.493 414.396 1.436 -4.351 re f* 1 G 2 J 0 j 0.226 w q 0.9951 0 0 -1.0049 0 0 cm 459.724 -412.385 1.443 4.33 re S Q 458.93 410.045 m 457.748 410.045 456.775 411.027 456.775 412.22 c 456.775 413.413 457.748 414.395 458.93 414.395 c 458.93 410.045 l f* 458.93 410.045 m 457.748 410.045 456.775 411.027 456.775 412.22 c 456.775 413.413 457.748 414.395 458.93 414.395 c 458.93 410.045 l f* 0 G 0 J 1 j 0.453 w q 0.9951 0 0 -1.0049 0 0 cm 461.168 -408.055 m 459.98 -408.055 459.003 -409.032 459.003 -410.22 c 459.003 -411.407 459.98 -412.384 461.168 -412.384 c S Q 457.493 422.373 1.436 -4.351 re f* 1 G 2 J 0 j 0.226 w q 0.9951 0 0 -1.0049 0 0 cm 459.724 -420.324 1.443 4.33 re S Q 458.93 418.021 m 457.748 418.021 456.775 419.003 456.775 420.196 c 456.775 421.39 457.748 422.372 458.93 422.372 c 458.93 418.021 l f* 458.93 418.021 m 457.748 418.021 456.775 419.003 456.775 420.196 c 456.775 421.39 457.748 422.372 458.93 422.372 c 458.93 418.021 l f* 0 G 0 J 1 j 0.453 w q 0.9951 0 0 -1.0049 0 0 cm 461.168 -415.992 m 459.98 -415.992 459.003 -416.97 459.003 -418.157 c 459.003 -419.345 459.98 -420.322 461.168 -420.322 c S Q 457.384 430.349 1.436 -4.351 re f* 1 G 2 J 0 j 0.226 w q 0.9951 0 0 -1.0049 0 0 cm 459.614 -428.261 1.443 4.33 re S Q 458.822 425.997 m 457.64 425.997 456.667 426.98 456.667 428.173 c 456.667 429.366 457.64 430.348 458.822 430.348 c 458.822 425.997 l f* 458.822 425.997 m 457.64 425.997 456.667 426.98 456.667 428.173 c 456.667 429.366 457.64 430.348 458.822 430.348 c 458.822 425.997 l f* 0 G 0 J 1 j 0.453 w q 0.9951 0 0 -1.0049 0 0 cm 461.059 -423.93 m 459.871 -423.93 458.894 -424.908 458.894 -426.095 c 458.894 -427.283 459.871 -428.26 461.059 -428.26 c S Q 457.493 399.169 1.436 -4.351 re f* 1 G 2 J 0 j 0.226 w q 0.9951 0 0 -1.0049 0 0 cm 459.724 -397.232 1.443 4.33 re S Q 458.93 394.817 m 457.748 394.817 456.775 395.799 456.775 396.992 c 456.775 398.185 457.748 399.168 458.93 399.168 c 458.93 394.817 l f* 458.93 394.817 m 457.748 394.817 456.775 395.799 456.775 396.992 c 456.775 398.185 457.748 399.168 458.93 399.168 c 458.93 394.817 l f* 0 G 0 J 1 j 0.453 w q 0.9951 0 0 -1.0049 0 0 cm 461.168 -392.901 m 459.98 -392.901 459.003 -393.878 459.003 -395.066 c 459.003 -396.253 459.98 -397.231 461.168 -397.231 c S Q 457.493 406.419 1.436 -4.351 re f* 1 G 2 J 0 j 0.226 w q 0.9951 0 0 -1.0049 0 0 cm 459.724 -404.447 1.443 4.33 re S Q 458.93 402.068 m 457.748 402.068 456.775 403.05 456.775 404.244 c 456.775 405.437 457.748 406.419 458.93 406.419 c 458.93 402.068 l f* 458.93 402.068 m 457.748 402.068 456.775 403.05 456.775 404.244 c 456.775 405.437 457.748 406.419 458.93 406.419 c 458.93 402.068 l f* 0 G 0 J 1 j 0.453 w q 0.9951 0 0 -1.0049 0 0 cm 461.168 -400.117 m 459.98 -400.117 459.003 -401.094 459.003 -402.282 c 459.003 -403.469 459.98 -404.447 461.168 -404.447 c S Q 457.493 383.215 1.436 -4.349 re f* 1 G 2 J 0 j 0.226 w q 0.9951 0 0 -1.0049 0 0 cm 459.724 -381.355 1.443 4.328 re S Q 458.93 378.864 m 457.748 378.864 456.775 379.846 456.775 381.039 c 456.775 382.233 457.748 383.215 458.93 383.215 c 458.93 378.864 l f* 458.93 378.864 m 457.748 378.864 456.775 379.846 456.775 381.039 c 456.775 382.233 457.748 383.215 458.93 383.215 c 458.93 378.864 l f* 0 G 0 J 1 j 0.453 w q 0.9951 0 0 -1.0049 0 0 cm 461.168 -377.025 m 459.98 -377.025 459.003 -378.003 459.003 -379.19 c 459.003 -380.378 459.98 -381.355 461.168 -381.355 c S Q 457.493 391.192 1.436 -4.351 re f* 1 G 2 J 0 j 0.226 w q 0.9951 0 0 -1.0049 0 0 cm 459.724 -389.294 1.443 4.33 re S Q 458.93 386.84 m 457.748 386.84 456.775 387.822 456.775 389.016 c 456.775 390.209 457.748 391.191 458.93 391.191 c 458.93 386.84 l f* 458.93 386.84 m 457.748 386.84 456.775 387.822 456.775 389.016 c 456.775 390.209 457.748 391.191 458.93 391.191 c 458.93 386.84 l f* 0 G 0 J 1 j 0.453 w q 0.9951 0 0 -1.0049 0 0 cm 461.168 -384.963 m 459.98 -384.963 459.003 -385.94 459.003 -387.128 c 459.003 -388.315 459.98 -389.293 461.168 -389.293 c S Q 457.493 360.012 1.436 -4.351 re f* 1 G 2 J 0 j 0.226 w q 0.9951 0 0 -1.0049 0 0 cm 459.724 -358.265 1.443 4.33 re S Q 458.93 355.66 m 457.748 355.66 456.775 356.642 456.775 357.835 c 456.775 359.028 457.748 360.01 458.93 360.01 c 458.93 355.66 l f* 458.93 355.66 m 457.748 355.66 456.775 356.642 456.775 357.835 c 456.775 359.028 457.748 360.01 458.93 360.01 c 458.93 355.66 l f* 0 G 0 J 1 j 0.453 w q 0.9951 0 0 -1.0049 0 0 cm 461.168 -353.934 m 459.98 -353.934 459.003 -354.911 459.003 -356.099 c 459.003 -357.286 459.98 -358.263 461.168 -358.263 c S Q 457.493 367.987 1.436 -4.351 re f* 1 G 2 J 0 j 0.226 w q 0.9951 0 0 -1.0049 0 0 cm 459.724 -366.202 1.443 4.33 re S Q 458.93 363.636 m 457.748 363.636 456.775 364.618 456.775 365.812 c 456.775 367.005 457.748 367.987 458.93 367.987 c 458.93 363.636 l f* 458.93 363.636 m 457.748 363.636 456.775 364.618 456.775 365.812 c 456.775 367.005 457.748 367.987 458.93 367.987 c 458.93 363.636 l f* 0 G 0 J 1 j 0.453 w q 0.9951 0 0 -1.0049 0 0 cm 461.168 -361.871 m 459.98 -361.871 459.003 -362.849 459.003 -364.036 c 459.003 -365.224 459.98 -366.201 461.168 -366.201 c S Q 457.493 375.239 1.436 -4.351 re f* 1 G 2 J 0 j 0.226 w q 0.9951 0 0 -1.0049 0 0 cm 459.724 -373.419 1.443 4.33 re S Q 458.93 370.887 m 457.748 370.887 456.775 371.87 456.775 373.063 c 456.775 374.256 457.748 375.238 458.93 375.238 c 458.93 370.887 l f* 458.93 370.887 m 457.748 370.887 456.775 371.87 456.775 373.063 c 456.775 374.256 457.748 375.238 458.93 375.238 c 458.93 370.887 l f* 0 G 0 J 1 j 0.453 w q 0.9951 0 0 -1.0049 0 0 cm 461.168 -369.088 m 459.98 -369.088 459.003 -370.065 459.003 -371.252 c 459.003 -372.44 459.98 -373.417 461.168 -373.417 c S Q 457.493 352.035 1.436 -4.351 re f* 1 G 2 J 0 j 0.226 w q 0.9951 0 0 -1.0049 0 0 cm 459.724 -350.327 1.443 4.33 re S Q 458.93 347.683 m 457.748 347.683 456.775 348.665 456.775 349.859 c 456.775 351.052 457.748 352.034 458.93 352.034 c 458.93 347.683 l f* 458.93 347.683 m 457.748 347.683 456.775 348.665 456.775 349.859 c 456.775 351.052 457.748 352.034 458.93 352.034 c 458.93 347.683 l f* 0 G 0 J 1 j 0.453 w q 0.9951 0 0 -1.0049 0 0 cm 461.168 -345.996 m 459.98 -345.996 459.003 -346.973 459.003 -348.161 c 459.003 -349.348 459.98 -350.326 461.168 -350.326 c S Q 0.449 g 375.233 355.478 66.784 67.437 re f* 1 g 375.233 355.478 66.784 67.437 re f* 2 J 2 j q 0.9951 0 0 -1.0049 0 0 cm 377.063 -353.753 67.11 -67.11 re S Q 380.08 418.022 57.449 -58.01 re f* 380.08 418.022 57.449 -58.01 re f* 0 j q 0.9951 0 0 -1.0049 0 0 cm 381.933 -415.994 57.729 57.729 re S Q 2 j q 0.9951 0 0 -1.0049 0 0 cm 409.355 -436.198 m 409.355 -433.311 l 409.355 -431.868 m 409.355 -429.703 l 409.355 -428.26 m 409.355 -426.095 l 409.355 -424.652 m 409.355 -422.487 l 410.798 -422.487 m 409.355 -422.487 l 413.685 -436.198 m 413.685 -433.311 l 413.685 -431.868 m 413.685 -429.703 l 413.685 -428.26 m 413.685 -426.095 l 413.685 -424.652 m 413.685 -422.487 l 412.242 -422.487 m 413.685 -422.487 l S Q BT 4.488 0 0 4.532 398.621 386.706 Tm 0 g BX /GS1 gs EX -0.002 Tc 0.002 Tw (TOP VIEW)Tj -0.789 -1.1 TD 0.001 Tc -0.005 Tw (\(Not to Scale\))Tj 5.984 0 0 6.043 396.35 392.627 Tm -0.002 Tc (AD2S80A)Tj ET 0.679 w BX /GS3 gs EX q 0.9951 0 0 -1.0049 0 0 cm 448.863 -337.697 m 445.436 -337.697 l S Q BT 0 4.532 -4.488 0 373.168 323.25 Tm BX /GS1 gs EX 0.007 Tc (DB9)Tj -0.655 -1.757 TD 0.003 Tc (DB10)Tj 0 -5.171 TD (DB13)Tj 0.05 3.564 TD (DB11)Tj -0.05 -1.757 TD (DB12)Tj -0.025 -5.346 TD (DB15)Tj 0.025 1.883 TD (DB14)Tj 0.676 -5.095 TD 0 Tc (+V)Tj 0 3.777 -3.74 0 435.466 329.013 Tm (L)Tj 0 4.532 -4.488 0 427.58 310.304 Tm 0.008 Tw (LSB DB16)Tj -2.073 -5.246 TD -0.001 Tc 0.01 Tw (BYTE SELECT)Tj 31.829 17.47 TD 0.001 Tc 0.013 Tw (SIGNAL GND)Tj -0.025 -1.732 TD 0.002 Tc 0.02 Tw (ANALOG GND)Tj 0.075 -5.045 TD -0.001 Tc 0 Tw (DEMOD I/P)Tj 0.1 3.514 TD 0.011 Tc (COS)Tj -0.201 -1.757 TD -0.001 Tc 0.01 Tw (AC ERROR O/P)Tj 0.075 -5.146 TD 0 Tc 0.006 Tw (DEMOD O/P)Tj -0.1 1.707 TD -0.002 Tc (REFERENCE I/P)Tj 0 -5.221 TD 0 Tc 0.007 Tw (INTEGRATOR I/P)Tj 0 1.707 TD 0.001 Tc 0.011 Tw (INTEGRATOR O/P)Tj 0.151 -5.171 TD -0.001 Tc 0 Tw (VCO I/P)Tj 0.1 1.707 TD 0.021 Tc (NC)Tj /F21 1 Tf -29.284 -0.075 TD 0.002 Tc (ENABLE)Tj /F12 1 Tf 8.35 0 0 9 477.6 451.44 Tm 0 0 0 1 k BX /GS2 gs EX 0 Tc -0.001 Tw (LCC \(E\) Package)Tj -17.634 24.36 TD (DIP \(D\) Package)Tj ET endstream endobj 14 0 obj <>stream %!FontType1-1.0: FOHGNA+UniversOverbar-Bold 1 13 dict begin /FontName /FOHGNA+UniversOverbar-Bold def /FontType 1 def /FontBBox {-151 -220 1000 998} readonly def /FontMatrix [0.001 0 0 0.001 0 0] readonly def /PaintType 0 def /FontInfo 12 dict dup begin /version (001.000) readonly def /Notice (Copyright (c) 1987 Adobe Systems Incorporated. All rights reserved.Univers is a registered trademark of Linotype Company.) readonly def /FullName (UniversOverbar-Bold) readonly def /FamilyName (UniversOverbar) readonly def /ItalicAngle 0 def /isFixedPitch false def /UnderlinePosition 900 def /UnderlineThickness 56 def /Weight (Bold) def /BaseFontName (UniversOverbar-Bold) def end def /Encoding 256 array 0 1 255 {1 index exch /.notdef put} for dup 0 /NUL put dup 1 /Eth put dup 2 /eth put dup 3 /Lslash put dup 4 /lslash put dup 5 /Scaron put dup 6 /scaron put dup 7 /Yacute put dup 8 /yacute put dup 9 /HT put dup 10 /LF put dup 11 /Thorn put dup 12 /thorn put dup 13 /CR put dup 14 /Zcaron put dup 15 /zcaron put dup 16 /DLE put dup 17 /DC1 put dup 18 /DC2 put dup 19 /DC3 put dup 20 /DC4 put dup 21 /onehalf put dup 22 /onequarter put dup 23 /onesuperior put dup 24 /threequarters put dup 25 /threesuperior put dup 26 /twosuperior put dup 27 /brokenbar put dup 28 /minus put dup 29 /multiply put dup 30 /RS put dup 31 /US put dup 32 /space put dup 33 /exclam put dup 34 /quotedbl put dup 35 /numbersign put dup 36 /dollar put dup 37 /percent put dup 38 /ampersand put dup 39 /quotesingle put dup 40 /parenleft put dup 41 /parenright put dup 42 /asterisk put dup 43 /plus put dup 44 /comma put dup 45 /hyphen put dup 46 /period put dup 47 /slash put dup 48 /zero put dup 49 /one put dup 50 /two put dup 51 /three put dup 52 /four put dup 53 /five put dup 54 /six put dup 55 /seven put dup 56 /eight put dup 57 /nine put dup 58 /colon put dup 59 /semicolon put dup 60 /less put dup 61 /equal put dup 62 /greater put dup 63 /question put dup 64 /at put dup 65 /A put dup 66 /B put dup 67 /C put dup 68 /D put dup 69 /E put dup 70 /F put dup 71 /G put dup 72 /H put dup 73 /I put dup 74 /J put dup 75 /K put dup 76 /L put dup 77 /M put dup 78 /N put dup 79 /O put dup 80 /P put dup 81 /Q put dup 82 /R put dup 83 /S put dup 84 /T put dup 85 /U put dup 86 /V put dup 87 /W put dup 88 /X put dup 89 /Y put dup 90 /Z put dup 91 /bracketleft put dup 92 /backslash put dup 93 /bracketright put dup 94 /asciicircum put dup 95 /underscore put dup 96 /grave put dup 97 /a put dup 98 /b put dup 99 /c put dup 100 /d put dup 101 /e put dup 102 /f put dup 103 /g put dup 104 /h put dup 105 /i put dup 106 /j put dup 107 /k put dup 108 /l put dup 109 /m put dup 110 /n put dup 111 /o put dup 112 /p put dup 113 /q put dup 114 /r put dup 115 /s put dup 116 /t put dup 117 /u put dup 118 /v put dup 119 /w put dup 120 /x put dup 121 /y put dup 122 /z put dup 123 /braceleft put dup 124 /bar put dup 125 /braceright put dup 126 /asciitilde put dup 127 /DEL put dup 128 /Adieresis put dup 129 /Aring put dup 130 /Ccedilla put dup 131 /Eacute put dup 132 /Ntilde put dup 133 /Odieresis put dup 134 /Udieresis put dup 135 /aacute put dup 136 /agrave put dup 137 /acircumflex put dup 138 /adieresis put dup 139 /atilde put dup 140 /aring put dup 141 /ccedilla put dup 142 /eacute put dup 143 /egrave put dup 144 /ecircumflex put dup 145 /edieresis put dup 146 /iacute put dup 147 /igrave put dup 148 /icircumflex put dup 149 /idieresis put dup 150 /ntilde put dup 151 /oacute put dup 152 /ograve put dup 153 /ocircumflex put dup 154 /odieresis put dup 155 /otilde put dup 156 /uacute put dup 157 /ugrave put dup 158 /ucircumflex put dup 159 /udieresis put dup 160 /dagger put dup 161 /degree put dup 162 /cent put dup 163 /sterling put dup 164 /section put dup 165 /bullet put dup 166 /paragraph put dup 167 /germandbls put dup 168 /registered put dup 169 /copyright put dup 170 /trademark put dup 171 /acute put dup 172 /dieresis put dup 173 /notequal put dup 174 /AE put dup 175 /Oslash put dup 176 /infinity put dup 177 /plusminus put dup 178 /lessequal put dup 179 /greaterequal put dup 180 /yen put dup 181 /mu put dup 182 /partialdiff put dup 183 /summation put dup 184 /product put dup 185 /pi put dup 186 /integral put dup 187 /ordfeminine put dup 188 /ordmasculine put dup 189 /Omega put dup 190 /ae put dup 191 /oslash put dup 192 /questiondown put dup 193 /exclamdown put dup 194 /logicalnot put dup 195 /radical put dup 196 /florin put dup 197 /approxequal put dup 198 /Delta put dup 199 /guillemotleft put dup 200 /guillemotright put dup 201 /ellipsis put dup 202 /nbspace put dup 203 /Agrave put dup 204 /Atilde put dup 205 /Otilde put dup 206 /OE put dup 207 /oe put dup 208 /endash put dup 209 /emdash put dup 210 /quotedblleft put dup 211 /quotedblright put dup 212 /quoteleft put dup 213 /quoteright put dup 214 /divide put dup 215 /lozenge put dup 216 /ydieresis put dup 217 /Ydieresis put dup 218 /fraction put dup 219 /currency put dup 220 /guilsinglleft put dup 221 /guilsinglright put dup 222 /fi put dup 223 /fl put dup 224 /daggerdbl put dup 225 /periodcentered put dup 226 /quotesinglbase put dup 227 /quotedblbase put dup 228 /perthousand put dup 229 /Acircumflex put dup 230 /Ecircumflex put dup 231 /Aacute put dup 232 /Edieresis put dup 233 /Egrave put dup 234 /Iacute put dup 235 /Icircumflex put dup 236 /Idieresis put dup 237 /Igrave put dup 238 /Oacute put dup 239 /Ocircumflex put dup 240 /apple put dup 241 /Ograve put dup 242 /Uacute put dup 243 /Ucircumflex put dup 244 /Ugrave put dup 245 /dotlessi put dup 246 /circumflex put dup 247 /tilde put dup 248 /macron put dup 249 /breve put dup 250 /dotaccent put dup 251 /ring put dup 252 /cedilla put dup 253 /hungarumlaut put dup 254 /ogonek put dup 255 /caron put readonly def currentdict end currentfile eexec Y3 `~I}e={ut#XSL4!2+3҇,UQ/ԺX8~6\j#.7d{Q*GPIZnM$MmnфDŽbQ"$Ck;e,z參9EBgÞcpį~JDY;RP 1!F~6/ d-,dFڬ%!sڌgǡ};mh:I!"?AZ, nbsPS#$#>CLdկɶ~`qP?0 n*Pc^'0%JM*_2eIO`7;n |~}.]p2s*؝mV9Y~mQӌ1n[B<8Qw1eJ`ԥ !\>u# @m&"lIG/(?CxUi+wWJ*ءZp073|Jqsa0̞ۼ6֑'yF!q`)@?\q# i(b_be"Ulѐ pGM298\wyiP΄AG%Qd"4o;8Y$[לE h!.KO3~`H31IW ,S&v<.v,,V;yMèDz&txpQ?sd-|P`Bu#7dc[#C67ᦛ"0<[FÐ%OϘ -=|Lvjd<.p8̼sj 6ƥ$/uաB\9=\ m[ؿ-)x ǝ2)q*4W^ykv# >|tYv Ebk֫(PV.yk '*?*:r~Ej[ߜ"mMQ9 SOԋճ F0v]Q!r=^#v%h/vέMj oAuJVgSMF>1ůkZXإB&ŲI!s44v8}'Hل@ uJv]m%.sxC endstream endobj 15 0 obj <> endobj 16 0 obj <> endobj 17 0 obj <>/Font<>/ProcSet[/PDF/Text]>> endobj 18 0 obj <>stream BT /F8 1 Tf 18 0 0 18 36 735.24 Tm 0 0 0 1 k BX /GS2 gs EX -0.01 Tc (AD2S80A)Tj /F5 1 Tf 9.5 0 0 9.5 526.08 18.48 Tm 0.026 Tc 0 Tw (REV. A)Tj -24.909 -0.013 TD 0.05 Tc (\3206\320)Tj /F12 1 Tf 8.35 0 0 9 36 713.16 Tm 0.008 Tc 0.011 Tw (CONNECTING THE CONVERTER)Tj /F14 1 Tf 8.85 0 0 9.5 36 702.12 Tm 0.006 Tc 0.012 Tw (The power supply voltages connected to +V)Tj 5.95 0 0 6.4 208.08 700.56 Tm (S)Tj 8.85 0 0 9.5 211.68 702.12 Tm [( and \320V)]TJ 5.95 0 0 6.4 242.16 700.56 Tm (S)Tj 8.85 0 0 9.5 245.76 702.12 Tm [( pins)]TJ -23.702 -1.149 TD 0.008 Tw (should be +12 V dc and \32012 V dc and must not be reversed.)Tj 0 -1.162 TD (The voltage applied to V)Tj 5.95 0 0 6.4 132.48 678.6 Tm (L)Tj 8.85 0 0 9.5 136.56 680.16 Tm [( can be +5 V dc to +V)]TJ 5.95 0 0 6.4 224.04 678.6 Tm (S)Tj 8.85 0 0 9.5 227.52 680.16 Tm (.)Tj -21.641 -1.579 TD 0.015 Tw (It is recommended that the decoupling capacitors are connected)Tj 0 -1.162 TD 0.012 Tw (in parallel between the power lines +V)Tj 5.95 0 0 6.4 186 652.56 Tm (S)Tj 8.85 0 0 9.5 189.6 654.12 Tm (, \320V)Tj 5.95 0 0 6.4 205.56 652.56 Tm (S)Tj 8.85 0 0 9.5 209.16 654.12 Tm [( and ANALOG)]TJ -19.566 -1.149 TD 0.016 Tw (GROUND adjacent to the converter. Recommended values are)Tj 0 -1.162 TD 0.013 Tw (100 nF \(ceramic\) and 10 )Tj /F22 1 Tf 11.363 0 TD (m)Tj /F14 1 Tf 0.502 0 TD (F \(tantalum\). Also capacitors of)Tj -11.864 -1.162 TD 0.01 Tw (100 nF and 10 )Tj /F22 1 Tf 6.834 0 TD (m)Tj /F14 1 Tf 0.502 0 TD (F should be connected between +V)Tj 5.95 0 0 6.4 239.52 619.56 Tm (L)Tj 8.85 0 0 9.5 243.6 621.12 Tm [( and)]TJ -23.458 -1.149 TD 0.016 Tw (DIGITAL GROUND adjacent to the converter.)Tj 0 -1.579 TD 0.011 Tw (When more than one converter is used on a card, then separate)Tj 0 -1.162 TD 0.016 Tw (decoupling capacitors should be used for each converter.)Tj 0 -1.579 TD 0.011 Tw (The resolver connections should be made to the SIN and COS)Tj 0 -1.162 TD 0.015 Tw (inputs, REFERENCE INPUT and SIGNAL GROUND as)Tj 0 -1.149 TD 0.013 Tw (shown in Figure 7 and described in section \322CONNECTING)Tj 0 -1.162 TD 0.024 Tw (THE RESOLVER.\323)Tj 0 -1.579 TD 0.012 Tw (The two signal ground wires from the resolver should be joined)Tj 0 -1.162 TD 0.011 Tw (at the SIGNAL GROUND pin of the converter to minimize the)Tj 0 -1.149 TD 0.013 Tw (coupling between the sine and cosine signals. For this reason it)Tj 0 -1.162 TD (is also recommended that the resolver is connected using indi-)Tj T* 0.014 Tw (vidually screened twisted pair cables with the sine, cosine and)Tj 0 -1.149 TD 0.025 Tw (reference signals twisted separately.)Tj 30.4 25.945 TD 0.015 Tw (SIGNAL GROUND and ANALOG GROUND are connected)Tj 0 -1.162 TD 0.017 Tw (internally. ANALOG GROUND and DIGITAL GROUND)Tj T* (must be connected externally.)Tj 0 -1.579 TD 0.016 Tw (The external components required should be connected as)Tj 0 -1.149 TD 0.012 Tw (shown in Figure 1.)Tj /F12 1 Tf 8.35 0 0 9 305.04 642.6 Tm 0.008 Tc 0.017 Tw (CONVERTER RESOLUTION)Tj /F14 1 Tf 8.85 0 0 9.5 305.04 631.68 Tm 0.006 Tc 0.013 Tw (Two major areas of the AD2S80A specification can be selected)Tj 0 -1.162 TD (by the user to optimize the total system performance. The reso-)Tj T* 0.01 Tw (lution of the digital output is set by the logic state of the inputs)Tj 0 -1.149 TD 0.009 Tw (SC1 and SC2 to be 10, 12, 14 or 16 bits; and the dynamic char-)Tj 0 -1.162 TD 0.014 Tw (acteristics of bandwidth and tracking rate are selected by the)Tj T* 0.019 Tw (choice of external components.)Tj 0 -1.579 TD 0.01 Tw (The choice of the resolution will affect the values of R4 and R6)Tj 0 -1.149 TD 0.012 Tw (which scale the inputs to the integrator and the VCO respec-)Tj 0 -1.162 TD 0.016 Tw (tively \(see section COMPONENT SELECTION\). If the resolu-)Tj T* 0.01 Tw (tion is changed, then new values of R4 and R6 must be switched)Tj 0 -1.149 TD 0.017 Tw (into the circuit.)Tj 0 -1.579 TD 0.02 Tw [(Note:)-487(When changing resolution under dynamic conditions, do)]TJ 0 -1.162 TD 0.01 Tw (it when the BUSY is low, i.e., when Data is not changing.)Tj ET 0 g BX /GS3 gs EX 1 i 281.371 409.309 m 281.371 409.309 l 281.371 408.42 280.677 407.699 279.822 407.699 c 278.967 407.699 278.273 408.42 278.273 409.309 c 278.273 410.198 278.967 410.92 279.822 410.92 c 280.677 410.92 281.371 410.198 281.371 409.309 c f* 0 G 0 J 1 j 0.759 w 10 M []0 d q 0.9808 0 0 -1.0196 0 0 cm 286.89 -401.435 m 286.89 -401.435 l 286.89 -400.563 286.183 -399.855 285.311 -399.855 c 284.439 -399.855 283.731 -400.563 283.731 -401.435 c 283.731 -402.306 284.439 -403.014 285.311 -403.014 c 286.183 -403.014 286.89 -402.306 286.89 -401.435 c s Q 1 g 126.484 338.445 m 126.484 318.733 l 143.237 328.634 l 126.484 338.445 l f* 2 J 2 j q 0.9808 0 0 -1.0196 0 0 cm 128.965 -331.934 m 128.965 -312.601 l 146.046 -322.311 l 128.965 -331.934 l h 150.749 -322.315 m 145.986 -322.315 l 128.676 -322.315 m 111.422 -322.315 l S Q BT /F20 1 Tf 5.958 0 0 6.194 128.968 326.378 Tm 0 g BX /GS1 gs EX 0 Tc (A1)Tj ET 1 g BX /GS3 gs EX 126.484 313.957 m 126.484 294.245 l 143.237 304.145 l 126.484 313.957 l f* q 0.9808 0 0 -1.0196 0 0 cm 128.965 -307.917 m 128.965 -288.584 l 146.046 -298.294 l 128.965 -307.917 l h 150.749 -298.298 m 145.986 -298.298 l 128.709 -298.364 m 111.82 -298.364 l S Q BT 5.958 0 0 6.194 128.968 301.858 Tm 0 g BX /GS1 gs EX (A2)Tj ET BX /GS3 gs EX q 0.9808 0 0 -1.0196 0 0 cm 117.696 -313.101 m 117.696 -307.755 l 120.551 -307.451 m 114.901 -307.451 l S Q 0.657 w q 0.9808 0 0 -1.0196 0 0 cm 117.696 -307.33 m 115.873 -304.353 l 114.78 -307.451 m 112.957 -304.474 l 120.733 -307.451 m 118.911 -304.474 l S Q 0.759 w q 0.9808 0 0 -1.0196 0 0 cm 117.635 -313.101 m 110.102 -313.101 l 117.696 -285.236 m 117.696 -279.89 l 120.551 -279.586 m 114.901 -279.586 l S Q 0.657 w q 0.9808 0 0 -1.0196 0 0 cm 117.696 -279.465 m 115.873 -276.488 l 114.78 -279.586 m 112.957 -276.609 l 120.733 -279.586 m 118.911 -276.609 l S Q 0.759 w q 0.9808 0 0 -1.0196 0 0 cm 117.635 -285.236 m 110.102 -285.236 l S Q 1 g 147.864 337.25 36.543 -40.759 re f* 0 j 14.3 M q 0.9808 0 0 -1.0196 0 0 cm 150.765 -330.761 37.26 39.975 re S Q BT 5.958 0 0 6.194 152.057 317.344 Tm 0 g BX /GS1 gs EX (SEGMENT)Tj -0.388 -1.1 TD (SWITCHING)Tj ET 1 g BX /GS3 gs EX 191.4 330.807 50.05 -27.751 re f* q 0.9808 0 0 -1.0196 0 0 cm 195.154 -324.443 51.032 27.218 re S Q BT 5.958 0 0 6.194 203.203 313.473 Tm 0 g BX /GS1 gs EX 0 Tw (R-2R DAC)Tj ET 2 j BX /GS3 gs EX q 0.9808 0 0 -1.0196 0 0 cm 188.35 -317.313 m 194.993 -317.313 l 188.188 -304.515 m 195.155 -304.515 l S Q 1 g 130.067 278.609 158.73 -10.407 re f* 0 j q 0.9808 0 0 -1.0196 0 0 cm 132.619 -273.249 161.844 10.206 re S Q 2 j q 0.9808 0 0 -1.0196 0 0 cm 156.881 -290.623 m 156.921 -273.248 l 161.863 -290.38 m 161.863 -273.491 l 166.723 -273.369 m 166.723 -290.501 l 171.947 -290.501 m 171.988 -273.248 l 176.808 -273.369 m 176.808 -290.501 l 181.789 -273.491 m 181.789 -290.501 l 197.828 -273.491 m 197.828 -297.062 l 202.931 -297.062 m 202.931 -273.491 l 207.953 -273.774 m 207.953 -296.815 l 212.894 -273.491 m 212.894 -296.941 l 217.997 -296.815 m 217.997 -273.809 l 223.02 -273.774 m 223.02 -296.815 l 228.042 -296.609 m 228.042 -273.774 l 232.699 -273.65 m 232.699 -297.306 l 237.924 -296.82 m 237.924 -273.734 l 243.108 -273.774 m 243.108 -296.609 l S Q 247.716 325.231 m 247.716 305.518 l 264.469 315.419 l 247.716 325.231 l f* q 0.9808 0 0 -1.0196 0 0 cm 252.575 -318.974 m 252.575 -299.641 l 269.657 -309.351 l 252.575 -318.974 l h 274.359 -309.355 m 269.596 -309.355 l 252.48 -309.16 m 246.381 -309.181 l S Q BT 5.958 0 0 6.194 250.12 312.957 Tm 0 g BX /GS1 gs EX (A3)Tj ET BX /GS3 gs EX q 0.9808 0 0 -1.0196 0 0 cm 274.375 -309.699 m 274.375 -401.556 l 181.87 -262.717 m 181.87 -247.327 l 187.864 -262.488 m 187.864 -247.557 l 193.858 -262.488 m 193.858 -247.557 l 199.852 -262.717 m 199.852 -247.165 l 206.17 -262.488 m 206.17 -247.557 l 212.165 -262.488 m 212.165 -247.557 l 218.159 -262.879 m 218.159 -247.327 l 224.153 -262.879 m 224.153 -247.327 l 236.141 -262.879 m 236.141 -247.327 l 242.135 -262.879 m 242.135 -247.327 l 230.147 -262.488 m 230.147 -247.557 l 248.129 -262.488 m 248.129 -247.557 l 254.123 -247.327 m 254.125 -262.717 l 260.118 -262.488 m 260.118 -247.557 l 266.112 -247.327 m 266.113 -262.879 l 272.106 -262.488 m 272.106 -247.557 l S Q 1 g 160.574 251.85 128.701 -10.407 re f* 0 j q 0.9808 0 0 -1.0196 0 0 cm 163.724 -247.004 131.225 10.206 re S Q BT 5.958 0 0 6.194 185.168 243.528 Tm 0 g BX /GS1 gs EX (OUTPUT DATA LATCH)Tj ET 2 j BX /GS3 gs EX q 0.9808 0 0 -1.0196 0 0 cm 181.87 -236.634 m 181.87 -221.406 l 187.864 -236.407 m 187.864 -221.633 l 193.858 -236.407 m 193.858 -221.633 l 199.852 -236.634 m 199.852 -221.861 l 206.17 -236.407 m 206.212 -221.244 l 212.165 -236.407 m 212.165 -221.633 l 218.159 -236.18 m 218.159 -221.406 l 224.153 -236.18 m 224.153 -221.406 l 230.147 -236.407 m 230.147 -221.633 l 236.141 -236.18 m 236.141 -221.406 l 242.135 -236.18 m 242.135 -221.406 l 248.129 -236.407 m 248.129 -221.633 l 254.123 -236.18 m 254.123 -221.406 l 260.118 -236.407 m 260.118 -221.633 l 266.112 -236.18 m 266.112 -221.406 l 272.106 -236.407 m 272.106 -221.633 l 287.66 -236.796 m 287.66 -221.244 l 168.748 -202.289 m 168.748 -236.634 l 155.706 -263.041 m 155.625 -221.244 l 139.587 -263.041 m 139.587 -221.244 l S Q 1 g 336.464 277.948 62.682 -36.339 re f* 0 j q 0.9808 0 0 -1.0196 0 0 cm 343.064 -272.6 63.912 35.64 re S Q 2 j q 0.9808 0 0 -1.0196 0 0 cm 342.653 -267.821 m 294.869 -267.821 l 295.407 -241.819 m 342.985 -241.819 l 351.733 -236.959 m 351.733 -221.406 l 398.147 -236.959 m 398.147 -221.406 l 374.168 -236.47 m 374.168 -221.568 l 407.382 -253.969 m 454.768 -253.969 l S Q 293.804 328.66 56.008 -22.136 re f* 0 j q 0.9808 0 0 -1.0196 0 0 cm 299.567 -322.337 57.107 21.71 re S Q BT 5.958 0 0 6.194 311.688 321.216 Tm 0 g BX /GS1 gs EX (PHASE)Tj -0.889 -1.1 TD (SENSITIVE)Tj -0.138 -1.1 TD (DETECTOR)Tj ET 2 j BX /GS3 gs EX q 0.9808 0 0 -1.0196 0 0 cm 305.156 -322.474 m 305.156 -401.573 l 350.842 -322.757 m 350.842 -366.741 l 327.351 -322.821 m 327.351 -426.464 l S Q BT 5.958 0 0 6.194 273.337 340.573 Tm BX /GS1 gs EX (DEMOD)Tj 2.499 -1.1 TD (I/P)Tj 9.848 1.1 TD (DEMOD)Tj 0 -1.1 TD (O/P)Tj ET BX /GS3 gs EX q 0.9808 0 0 -1.0196 0 0 cm 398.066 -324.765 m 398.066 -366.563 l 398.066 -307.755 m 398.066 -300.465 l 398.066 -300.303 m 498.915 -300.303 l S Q BT 5.958 0 0 6.194 374.3 297.213 Tm BX /GS1 gs EX (INTEGRATOR)Tj 4.887 -1.1 TD (O/P)Tj ET 0 j 1.013 w BX /GS3 gs EX q 0.9808 0 0 -1.0196 0 0 cm 109.858 -342.263 312.993 122.963 re S Q 2 j 0.759 w q 0.9808 0 0 -1.0196 0 0 cm 132.337 -270.696 m 111.681 -270.696 l 132.337 -265.107 m 121.28 -265.147 l 121.28 -264.985 m 121.28 -221.244 l S Q BT 7.944 0 0 8.259 268.324 291.741 Tm BX /GS1 gs EX (AD2S80A)Tj ET 1 g BX /GS3 gs EX 227.508 223.478 m 227.508 223.478 l 227.508 222.452 226.707 221.619 225.72 221.619 c 224.733 221.619 223.933 222.452 223.933 223.478 c 223.933 224.504 224.733 225.336 225.72 225.336 c 226.707 225.336 227.508 224.504 227.508 223.478 c f* 0 J 1 j q 0.9808 0 0 -1.0196 0 0 cm 231.97 -219.178 m 231.97 -219.178 l 231.97 -218.172 231.154 -217.356 230.148 -217.356 c 229.142 -217.356 228.325 -218.172 228.325 -219.178 c 228.325 -220.184 229.142 -221.001 230.148 -221.001 c 231.154 -221.001 231.97 -220.184 231.97 -219.178 c s Q 233.347 223.602 m 233.347 223.602 l 233.347 222.576 232.546 221.743 231.559 221.743 c 230.573 221.743 229.772 222.576 229.772 223.602 c 229.772 224.627 230.573 225.46 231.559 225.46 c 232.546 225.46 233.347 224.627 233.347 223.602 c f* q 0.9808 0 0 -1.0196 0 0 cm 237.924 -219.3 m 237.924 -219.3 l 237.924 -218.294 237.108 -217.477 236.102 -217.477 c 235.095 -217.477 234.279 -218.294 234.279 -219.3 c 234.279 -220.306 235.095 -221.122 236.102 -221.122 c 237.108 -221.122 237.924 -220.306 237.924 -219.3 c s Q 239.305 223.602 m 239.305 223.602 l 239.305 222.576 238.504 221.743 237.518 221.743 c 236.531 221.743 235.73 222.576 235.73 223.602 c 235.73 224.627 236.531 225.46 237.518 225.46 c 238.504 225.46 239.305 224.627 239.305 223.602 c f* q 0.9808 0 0 -1.0196 0 0 cm 243.999 -219.3 m 243.999 -219.3 l 243.999 -218.294 243.183 -217.477 242.177 -217.477 c 241.171 -217.477 240.354 -218.294 240.354 -219.3 c 240.354 -220.306 241.171 -221.122 242.177 -221.122 c 243.183 -221.122 243.999 -220.306 243.999 -219.3 c s Q 245.144 223.602 m 245.144 223.602 l 245.144 222.576 244.343 221.743 243.357 221.743 c 242.37 221.743 241.569 222.576 241.569 223.602 c 241.569 224.627 242.37 225.46 243.357 225.46 c 244.343 225.46 245.144 224.627 245.144 223.602 c f* q 0.9808 0 0 -1.0196 0 0 cm 249.953 -219.3 m 249.953 -219.3 l 249.953 -218.294 249.136 -217.477 248.13 -217.477 c 247.124 -217.477 246.308 -218.294 246.308 -219.3 c 246.308 -220.306 247.124 -221.122 248.13 -221.122 c 249.136 -221.122 249.953 -220.306 249.953 -219.3 c s Q 250.983 223.478 m 250.983 223.478 l 250.983 222.452 250.183 221.619 249.196 221.619 c 248.209 221.619 247.408 222.452 247.408 223.478 c 247.408 224.504 248.209 225.336 249.196 225.336 c 250.183 225.336 250.983 224.504 250.983 223.478 c f* q 0.9808 0 0 -1.0196 0 0 cm 255.907 -219.178 m 255.907 -219.178 l 255.907 -218.172 255.09 -217.356 254.084 -217.356 c 253.078 -217.356 252.262 -218.172 252.262 -219.178 c 252.262 -220.184 253.078 -221.001 254.084 -221.001 c 255.09 -221.001 255.907 -220.184 255.907 -219.178 c s Q 256.942 223.478 m 256.942 223.478 l 256.942 222.452 256.141 221.619 255.154 221.619 c 254.168 221.619 253.367 222.452 253.367 223.478 c 253.367 224.504 254.168 225.336 255.154 225.336 c 256.141 225.336 256.942 224.504 256.942 223.478 c f* q 0.9808 0 0 -1.0196 0 0 cm 261.982 -219.178 m 261.982 -219.178 l 261.982 -218.172 261.165 -217.356 260.159 -217.356 c 259.153 -217.356 258.337 -218.172 258.337 -219.178 c 258.337 -220.184 259.153 -221.001 260.159 -221.001 c 261.165 -221.001 261.982 -220.184 261.982 -219.178 c s Q 262.781 223.478 m 262.781 223.478 l 262.781 222.452 261.98 221.619 260.993 221.619 c 260.007 221.619 259.206 222.452 259.206 223.478 c 259.206 224.504 260.007 225.336 260.993 225.336 c 261.98 225.336 262.781 224.504 262.781 223.478 c f* q 0.9808 0 0 -1.0196 0 0 cm 267.936 -219.178 m 267.936 -219.178 l 267.936 -218.172 267.119 -217.356 266.113 -217.356 c 265.107 -217.356 264.29 -218.172 264.29 -219.178 c 264.29 -220.184 265.107 -221.001 266.113 -221.001 c 267.119 -221.001 267.936 -220.184 267.936 -219.178 c s Q 268.62 223.478 m 268.62 223.478 l 268.62 222.452 267.819 221.619 266.833 221.619 c 265.846 221.619 265.045 222.452 265.045 223.478 c 265.045 224.504 265.846 225.336 266.833 225.336 c 267.819 225.336 268.62 224.504 268.62 223.478 c f* q 0.9808 0 0 -1.0196 0 0 cm 273.889 -219.178 m 273.889 -219.178 l 273.889 -218.172 273.073 -217.356 272.067 -217.356 c 271.061 -217.356 270.244 -218.172 270.244 -219.178 c 270.244 -220.184 271.061 -221.001 272.067 -221.001 c 273.073 -221.001 273.889 -220.184 273.889 -219.178 c s Q 283.873 223.478 m 283.873 223.478 l 283.873 222.452 283.073 221.619 282.086 221.619 c 281.099 221.619 280.298 222.452 280.298 223.478 c 280.298 224.504 281.099 225.336 282.086 225.336 c 283.073 225.336 283.873 224.504 283.873 223.478 c f* q 0.9808 0 0 -1.0196 0 0 cm 289.442 -219.178 m 289.442 -219.178 l 289.442 -218.172 288.625 -217.356 287.619 -217.356 c 286.613 -217.356 285.797 -218.172 285.797 -219.178 c 285.797 -220.184 286.613 -221.001 287.619 -221.001 c 288.625 -221.001 289.442 -220.184 289.442 -219.178 c s Q 346.793 223.478 m 346.793 223.478 l 346.793 222.452 345.992 221.619 345.006 221.619 c 344.019 221.619 343.218 222.452 343.218 223.478 c 343.218 224.504 344.019 225.336 345.006 225.336 c 345.992 225.336 346.793 224.504 346.793 223.478 c f* q 0.9808 0 0 -1.0196 0 0 cm 353.596 -219.178 m 353.596 -219.178 l 353.596 -218.172 352.779 -217.356 351.773 -217.356 c 350.767 -217.356 349.951 -218.172 349.951 -219.178 c 349.951 -220.184 350.767 -221.001 351.773 -221.001 c 352.779 -221.001 353.596 -220.184 353.596 -219.178 c s Q 368.72 223.478 m 368.72 223.478 l 368.72 222.452 367.919 221.619 366.932 221.619 c 365.946 221.619 365.145 222.452 365.145 223.478 c 365.145 224.504 365.946 225.336 366.932 225.336 c 367.919 225.336 368.72 224.504 368.72 223.478 c f* q 0.9808 0 0 -1.0196 0 0 cm 375.953 -219.178 m 375.953 -219.178 l 375.953 -218.172 375.136 -217.356 374.13 -217.356 c 373.124 -217.356 372.308 -218.172 372.308 -219.178 c 372.308 -220.184 373.124 -221.001 374.13 -221.001 c 375.136 -221.001 375.953 -220.184 375.953 -219.178 c s Q 392.275 223.478 m 392.275 223.478 l 392.275 222.452 391.474 221.619 390.488 221.619 c 389.501 221.619 388.7 222.452 388.7 223.478 c 388.7 224.504 389.501 225.336 390.488 225.336 c 391.474 225.336 392.275 224.504 392.275 223.478 c f* q 0.9808 0 0 -1.0196 0 0 cm 399.97 -219.178 m 399.97 -219.178 l 399.97 -218.172 399.154 -217.356 398.147 -217.356 c 397.141 -217.356 396.325 -218.172 396.325 -219.178 c 396.325 -220.184 397.141 -221.001 398.147 -221.001 c 399.154 -221.001 399.97 -220.184 399.97 -219.178 c s Q 416.506 258.91 m 416.506 258.91 l 416.506 257.884 415.705 257.051 414.718 257.051 c 413.732 257.051 412.931 257.884 412.931 258.91 c 412.931 259.935 413.732 260.768 414.718 260.768 c 415.705 260.768 416.506 259.935 416.506 258.91 c f* q 0.9808 0 0 -1.0196 0 0 cm 424.676 -253.929 m 424.676 -253.929 l 424.676 -252.923 423.859 -252.106 422.853 -252.106 c 421.847 -252.106 421.031 -252.923 421.031 -253.929 c 421.031 -254.935 421.847 -255.751 422.853 -255.751 c 423.859 -255.751 424.676 -254.935 424.676 -253.929 c s Q 416.506 306.235 m 416.506 306.235 l 416.506 305.209 415.705 304.376 414.718 304.376 c 413.732 304.376 412.931 305.209 412.931 306.235 c 412.931 307.26 413.732 308.093 414.718 308.093 c 415.705 308.093 416.506 307.26 416.506 306.235 c f* q 0.9808 0 0 -1.0196 0 0 cm 424.676 -300.343 m 424.676 -300.343 l 424.676 -299.337 423.859 -298.521 422.853 -298.521 c 421.847 -298.521 421.031 -299.337 421.031 -300.343 c 421.031 -301.349 421.847 -302.166 422.853 -302.166 c 423.859 -302.166 424.676 -301.349 424.676 -300.343 c s Q 392.196 348.976 m 392.196 348.976 l 392.196 347.95 391.395 347.118 390.408 347.118 c 389.422 347.118 388.621 347.95 388.621 348.976 c 388.621 350.002 389.422 350.834 390.408 350.834 c 391.395 350.834 392.196 350.002 392.196 348.976 c f* q 0.9808 0 0 -1.0196 0 0 cm 399.889 -342.262 m 399.889 -342.262 l 399.889 -341.256 399.072 -340.439 398.066 -340.439 c 397.06 -340.439 396.244 -341.256 396.244 -342.262 c 396.244 -343.268 397.06 -344.085 398.066 -344.085 c 399.072 -344.085 399.889 -343.268 399.889 -342.262 c s Q 345.919 348.976 m 345.919 348.976 l 345.919 347.95 345.119 347.118 344.132 347.118 c 343.145 347.118 342.344 347.95 342.344 348.976 c 342.344 350.002 343.145 350.834 344.132 350.834 c 345.119 350.834 345.919 350.002 345.919 348.976 c f* q 0.9808 0 0 -1.0196 0 0 cm 352.705 -342.262 m 352.705 -342.262 l 352.705 -341.256 351.888 -340.439 350.882 -340.439 c 349.876 -340.439 349.06 -341.256 349.06 -342.262 c 349.06 -343.268 349.876 -344.085 350.882 -344.085 c 351.888 -344.085 352.705 -343.268 352.705 -342.262 c s Q 322.96 348.976 m 322.96 348.976 l 322.96 347.95 322.159 347.118 321.172 347.118 c 320.186 347.118 319.385 347.95 319.385 348.976 c 319.385 350.002 320.186 350.834 321.172 350.834 c 322.159 350.834 322.96 350.002 322.96 348.976 c f* q 0.9808 0 0 -1.0196 0 0 cm 329.295 -342.262 m 329.295 -342.262 l 329.295 -341.256 328.479 -340.439 327.473 -340.439 c 326.467 -340.439 325.65 -341.256 325.65 -342.262 c 325.65 -343.268 326.467 -344.085 327.473 -344.085 c 328.479 -344.085 329.295 -343.268 329.295 -342.262 c s Q 301.073 348.976 m 301.073 348.976 l 301.073 347.95 300.272 347.118 299.286 347.118 c 298.299 347.118 297.498 347.95 297.498 348.976 c 297.498 350.002 298.299 350.834 299.286 350.834 c 300.272 350.834 301.073 350.002 301.073 348.976 c f* q 0.9808 0 0 -1.0196 0 0 cm 306.979 -342.262 m 306.979 -342.262 l 306.979 -341.256 306.162 -340.439 305.156 -340.439 c 304.15 -340.439 303.334 -341.256 303.334 -342.262 c 303.334 -343.268 304.15 -344.085 305.156 -344.085 c 306.162 -344.085 306.979 -343.268 306.979 -342.262 c s Q 270.884 348.976 m 270.884 348.976 l 270.884 347.95 270.083 347.118 269.097 347.118 c 268.11 347.118 267.309 347.95 267.309 348.976 c 267.309 350.002 268.11 350.834 269.097 350.834 c 270.083 350.834 270.884 350.002 270.884 348.976 c f* q 0.9808 0 0 -1.0196 0 0 cm 276.198 -342.262 m 276.198 -342.262 l 276.198 -341.256 275.381 -340.439 274.375 -340.439 c 273.369 -340.439 272.553 -341.256 272.553 -342.262 c 272.553 -343.268 273.369 -344.085 274.375 -344.085 c 275.381 -344.085 276.198 -343.268 276.198 -342.262 c s Q 154.458 223.478 m 154.458 223.478 l 154.458 222.452 153.658 221.619 152.671 221.619 c 151.684 221.619 150.883 222.452 150.883 223.478 c 150.883 224.504 151.684 225.336 152.671 225.336 c 153.658 225.336 154.458 224.504 154.458 223.478 c f* q 0.9808 0 0 -1.0196 0 0 cm 157.488 -219.178 m 157.488 -219.178 l 157.488 -218.172 156.672 -217.356 155.666 -217.356 c 154.66 -217.356 153.843 -218.172 153.843 -219.178 c 153.843 -220.184 154.66 -221.001 155.666 -221.001 c 156.672 -221.001 157.488 -220.184 157.488 -219.178 c s Q 167.328 223.478 m 167.328 223.478 l 167.328 222.452 166.528 221.619 165.541 221.619 c 164.554 221.619 163.753 222.452 163.753 223.478 c 163.753 224.504 164.554 225.336 165.541 225.336 c 166.528 225.336 167.328 224.504 167.328 223.478 c f* q 0.9808 0 0 -1.0196 0 0 cm 170.611 -219.178 m 170.611 -219.178 l 170.611 -218.172 169.794 -217.356 168.788 -217.356 c 167.782 -217.356 166.966 -218.172 166.966 -219.178 c 166.966 -220.184 167.782 -221.001 168.788 -221.001 c 169.794 -221.001 170.611 -220.184 170.611 -219.178 c s Q 180.198 223.478 m 180.198 223.478 l 180.198 222.452 179.398 221.619 178.411 221.619 c 177.424 221.619 176.623 222.452 176.623 223.478 c 176.623 224.504 177.424 225.336 178.411 225.336 c 179.398 225.336 180.198 224.504 180.198 223.478 c f* q 0.9808 0 0 -1.0196 0 0 cm 183.733 -219.178 m 183.733 -219.178 l 183.733 -218.172 182.917 -217.356 181.911 -217.356 c 180.905 -217.356 180.088 -218.172 180.088 -219.178 c 180.088 -220.184 180.905 -221.001 181.911 -221.001 c 182.917 -221.001 183.733 -220.184 183.733 -219.178 c s Q 186.038 223.478 m 186.038 223.478 l 186.038 222.452 185.237 221.619 184.25 221.619 c 183.264 221.619 182.463 222.452 182.463 223.478 c 182.463 224.504 183.264 225.336 184.25 225.336 c 185.237 225.336 186.038 224.504 186.038 223.478 c f* q 0.9808 0 0 -1.0196 0 0 cm 189.687 -219.178 m 189.687 -219.178 l 189.687 -218.172 188.87 -217.356 187.864 -217.356 c 186.858 -217.356 186.042 -218.172 186.042 -219.178 c 186.042 -220.184 186.858 -221.001 187.864 -221.001 c 188.87 -221.001 189.687 -220.184 189.687 -219.178 c s Q 191.877 223.602 m 191.877 223.602 l 191.877 222.576 191.076 221.743 190.089 221.743 c 189.103 221.743 188.302 222.576 188.302 223.602 c 188.302 224.627 189.103 225.46 190.089 225.46 c 191.076 225.46 191.877 224.627 191.877 223.602 c f* q 0.9808 0 0 -1.0196 0 0 cm 195.641 -219.3 m 195.641 -219.3 l 195.641 -218.294 194.824 -217.477 193.818 -217.477 c 192.812 -217.477 191.996 -218.294 191.996 -219.3 c 191.996 -220.306 192.812 -221.122 193.818 -221.122 c 194.824 -221.122 195.641 -220.306 195.641 -219.3 c s Q 197.835 223.602 m 197.835 223.602 l 197.835 222.576 197.034 221.743 196.048 221.743 c 195.061 221.743 194.26 222.576 194.26 223.602 c 194.26 224.627 195.061 225.46 196.048 225.46 c 197.034 225.46 197.835 224.627 197.835 223.602 c f* q 0.9808 0 0 -1.0196 0 0 cm 201.716 -219.3 m 201.716 -219.3 l 201.716 -218.294 200.899 -217.477 199.893 -217.477 c 198.887 -217.477 198.071 -218.294 198.071 -219.3 c 198.071 -220.306 198.887 -221.122 199.893 -221.122 c 200.899 -221.122 201.716 -220.306 201.716 -219.3 c s Q 204.032 223.478 m 204.032 223.478 l 204.032 222.452 203.231 221.619 202.244 221.619 c 201.258 221.619 200.457 222.452 200.457 223.478 c 200.457 224.504 201.258 225.336 202.244 225.336 c 203.231 225.336 204.032 224.504 204.032 223.478 c f* q 0.9808 0 0 -1.0196 0 0 cm 208.034 -219.178 m 208.034 -219.178 l 208.034 -218.172 207.218 -217.356 206.212 -217.356 c 205.205 -217.356 204.389 -218.172 204.389 -219.178 c 204.389 -220.184 205.205 -221.001 206.212 -221.001 c 207.218 -221.001 208.034 -220.184 208.034 -219.178 c s Q 209.871 223.602 m 209.871 223.602 l 209.871 222.576 209.07 221.743 208.083 221.743 c 207.097 221.743 206.296 222.576 206.296 223.602 c 206.296 224.627 207.097 225.46 208.083 225.46 c 209.07 225.46 209.871 224.627 209.871 223.602 c f* q 0.9808 0 0 -1.0196 0 0 cm 213.988 -219.3 m 213.988 -219.3 l 213.988 -218.294 213.171 -217.477 212.165 -217.477 c 211.159 -217.477 210.343 -218.294 210.343 -219.3 c 210.343 -220.306 211.159 -221.122 212.165 -221.122 c 213.171 -221.122 213.988 -220.306 213.988 -219.3 c s Q 215.71 223.478 m 215.71 223.478 l 215.71 222.452 214.909 221.619 213.923 221.619 c 212.936 221.619 212.135 222.452 212.135 223.478 c 212.135 224.504 212.936 225.336 213.923 225.336 c 214.909 225.336 215.71 224.504 215.71 223.478 c f* q 0.9808 0 0 -1.0196 0 0 cm 219.941 -219.178 m 219.941 -219.178 l 219.941 -218.172 219.125 -217.356 218.119 -217.356 c 217.113 -217.356 216.296 -218.172 216.296 -219.178 c 216.296 -220.184 217.113 -221.001 218.119 -221.001 c 219.125 -221.001 219.941 -220.184 219.941 -219.178 c s Q 221.668 223.602 m 221.668 223.602 l 221.668 222.576 220.868 221.743 219.881 221.743 c 218.894 221.743 218.093 222.576 218.093 223.602 c 218.093 224.627 218.894 225.46 219.881 225.46 c 220.868 225.46 221.668 224.627 221.668 223.602 c f* q 0.9808 0 0 -1.0196 0 0 cm 226.017 -219.3 m 226.017 -219.3 l 226.017 -218.294 225.2 -217.477 224.194 -217.477 c 223.188 -217.477 222.372 -218.294 222.372 -219.3 c 222.372 -220.306 223.188 -221.122 224.194 -221.122 c 225.2 -221.122 226.017 -220.306 226.017 -219.3 c s Q 109.533 328.534 m 109.533 328.534 l 109.533 327.509 108.732 326.676 107.745 326.676 c 106.759 326.676 105.958 327.509 105.958 328.534 c 105.958 329.56 106.759 330.393 107.745 330.393 c 108.732 330.393 109.533 329.56 109.533 328.534 c f* q 0.9808 0 0 -1.0196 0 0 cm 111.681 -322.214 m 111.681 -322.214 l 111.681 -321.208 110.865 -320.391 109.859 -320.391 c 108.853 -320.391 108.036 -321.208 108.036 -322.214 c 108.036 -323.22 108.853 -324.036 109.859 -324.036 c 110.865 -324.036 111.681 -323.22 111.681 -322.214 c s Q 109.533 319.243 m 109.533 319.243 l 109.533 318.217 108.732 317.385 107.745 317.385 c 106.759 317.385 105.958 318.217 105.958 319.243 c 105.958 320.269 106.759 321.101 107.745 321.101 c 108.732 321.101 109.533 320.269 109.533 319.243 c f* q 0.9808 0 0 -1.0196 0 0 cm 111.681 -313.101 m 111.681 -313.101 l 111.681 -312.095 110.865 -311.279 109.859 -311.279 c 108.853 -311.279 108.036 -312.095 108.036 -313.101 c 108.036 -314.107 108.853 -314.924 109.859 -314.924 c 110.865 -314.924 111.681 -314.107 111.681 -313.101 c s Q 109.533 304.252 m 109.533 304.252 l 109.533 303.227 108.732 302.394 107.745 302.394 c 106.759 302.394 105.958 303.227 105.958 304.252 c 105.958 305.278 106.759 306.111 107.745 306.111 c 108.732 306.111 109.533 305.278 109.533 304.252 c f* q 0.9808 0 0 -1.0196 0 0 cm 111.681 -298.399 m 111.681 -298.399 l 111.681 -297.393 110.865 -296.577 109.859 -296.577 c 108.853 -296.577 108.036 -297.393 108.036 -298.399 c 108.036 -299.405 108.853 -300.222 109.859 -300.222 c 110.865 -300.222 111.681 -299.405 111.681 -298.399 c s Q 109.533 290.873 m 109.533 290.873 l 109.533 289.847 108.732 289.014 107.745 289.014 c 106.759 289.014 105.958 289.847 105.958 290.873 c 105.958 291.898 106.759 292.731 107.745 292.731 c 108.732 292.731 109.533 291.898 109.533 290.873 c f* q 0.9808 0 0 -1.0196 0 0 cm 111.681 -285.277 m 111.681 -285.277 l 111.681 -284.271 110.865 -283.454 109.859 -283.454 c 108.853 -283.454 108.036 -284.271 108.036 -285.277 c 108.036 -286.283 108.853 -287.099 109.859 -287.099 c 110.865 -287.099 111.681 -286.283 111.681 -285.277 c s Q 109.533 250.733 m 109.533 250.733 l 109.533 249.707 108.732 248.875 107.745 248.875 c 106.759 248.875 105.958 249.707 105.958 250.733 c 105.958 251.759 106.759 252.591 107.745 252.591 c 108.732 252.591 109.533 251.759 109.533 250.733 c f* q 0.9808 0 0 -1.0196 0 0 cm 111.681 -245.909 m 111.681 -245.909 l 111.681 -244.903 110.865 -244.087 109.859 -244.087 c 108.853 -244.087 108.036 -244.903 108.036 -245.909 c 108.036 -246.915 108.853 -247.732 109.859 -247.732 c 110.865 -247.732 111.681 -246.915 111.681 -245.909 c s Q 109.533 259.901 m 109.533 259.901 l 109.533 258.875 108.732 258.042 107.745 258.042 c 106.759 258.042 105.958 258.875 105.958 259.901 c 105.958 260.926 106.759 261.759 107.745 261.759 c 108.732 261.759 109.533 260.926 109.533 259.901 c f* q 0.9808 0 0 -1.0196 0 0 cm 111.681 -254.901 m 111.681 -254.901 l 111.681 -253.895 110.865 -253.078 109.859 -253.078 c 108.853 -253.078 108.036 -253.895 108.036 -254.901 c 108.036 -255.907 108.853 -256.723 109.859 -256.723 c 110.865 -256.723 111.681 -255.907 111.681 -254.901 c s Q 109.533 275.882 m 109.533 275.882 l 109.533 274.856 108.732 274.024 107.745 274.024 c 106.759 274.024 105.958 274.856 105.958 275.882 c 105.958 276.908 106.759 277.74 107.745 277.74 c 108.732 277.74 109.533 276.908 109.533 275.882 c f* q 0.9808 0 0 -1.0196 0 0 cm 111.681 -270.575 m 111.681 -270.575 l 111.681 -269.569 110.865 -268.752 109.859 -268.752 c 108.853 -268.752 108.036 -269.569 108.036 -270.575 c 108.036 -271.581 108.853 -272.397 109.859 -272.397 c 110.865 -272.397 111.681 -271.581 111.681 -270.575 c s Q 120.695 223.478 m 120.695 223.478 l 120.695 222.452 119.894 221.619 118.907 221.619 c 117.92 221.619 117.12 222.452 117.12 223.478 c 117.12 224.504 117.92 225.336 118.907 225.336 c 119.894 225.336 120.695 224.504 120.695 223.478 c f* q 0.9808 0 0 -1.0196 0 0 cm 123.062 -219.178 m 123.062 -219.178 l 123.062 -218.172 122.246 -217.356 121.24 -217.356 c 120.234 -217.356 119.417 -218.172 119.417 -219.178 c 119.417 -220.184 120.234 -221.001 121.24 -221.001 c 122.246 -221.001 123.062 -220.184 123.062 -219.178 c s Q 138.57 223.478 m 138.57 223.478 l 138.57 222.452 137.769 221.619 136.782 221.619 c 135.795 221.619 134.995 222.452 134.995 223.478 c 134.995 224.504 135.795 225.336 136.782 225.336 c 137.769 225.336 138.57 224.504 138.57 223.478 c f* q 0.9808 0 0 -1.0196 0 0 cm 141.288 -219.178 m 141.288 -219.178 l 141.288 -218.172 140.471 -217.356 139.465 -217.356 c 138.459 -217.356 137.643 -218.172 137.643 -219.178 c 137.643 -220.184 138.459 -221.001 139.465 -221.001 c 140.471 -221.001 141.288 -220.184 141.288 -219.178 c s Q 2 J 2 j q 0.9808 0 0 -1.0196 0 0 cm 285.311 -401.07 m 285.311 -367.17 l 288.146 -366.988 m 282.496 -366.988 l S Q 0.657 w q 0.9808 0 0 -1.0196 0 0 cm 285.29 -366.867 m 283.468 -363.89 l 282.374 -366.988 m 280.552 -364.011 l 288.328 -366.988 m 286.505 -364.011 l S Q 0.759 w q 0.9808 0 0 -1.0196 0 0 cm 285.23 -372.719 m 305.156 -372.719 l S Q 289.649 382.275 2.455 -4.123 re f* 1 G 0 j q 0.9808 0 0 -1.0196 0 0 cm 295.331 -374.921 2.504 4.044 re S Q 0 G 2 j q 0.9808 0 0 -1.0196 0 0 cm 295.193 -376.374 m 295.193 -368.963 l 298.109 -376.374 m 298.109 -368.963 l S Q 278.511 404.045 m 281.082 402.876 l 281.082 393.637 l 278.511 394.687 l 278.511 404.045 l f* 1 G q 0.9808 0 0 -1.0196 0 0 cm 283.975 -396.272 m 286.595 -395.125 l 286.595 -386.064 l 283.974 -387.094 l 283.975 -396.272 l s Q 0 G q 0.9808 0 0 -1.0196 0 0 cm 285.417 -396.579 m 287.317 -395.71 l 283.301 -393.888 l 287.341 -392.009 l 283.301 -390.158 l 287.317 -388.364 l 283.301 -386.513 l 285.345 -385.644 l S Q BT 5.958 0 0 6.194 287.607 385.998 Tm 0 g BX /GS1 gs EX (C2)Tj ET 1 g BX /GS3 gs EX 267.866 385.214 m 270.436 384.045 l 270.436 374.806 l 267.865 375.856 l 267.866 385.214 l f* 1 G q 0.9808 0 0 -1.0196 0 0 cm 273.12 -377.803 m 275.741 -376.656 l 275.741 -367.595 l 273.12 -368.625 l 273.12 -377.803 l s Q 0 G q 0.9808 0 0 -1.0196 0 0 cm 274.563 -378.111 m 276.462 -377.242 l 272.446 -375.419 l 276.486 -373.54 l 272.446 -371.69 l 276.462 -369.895 l 272.446 -368.045 l 274.49 -367.175 l 304.994 -401.556 m 274.375 -401.556 l S Q 0 g 281.371 380.072 m 281.371 380.072 l 281.371 379.183 280.677 378.461 279.822 378.461 c 278.967 378.461 278.273 379.183 278.273 380.072 c 278.273 380.961 278.967 381.682 279.822 381.682 c 280.677 381.682 281.371 380.961 281.371 380.072 c f* 0 J 1 j q 0.9808 0 0 -1.0196 0 0 cm 286.89 -372.76 m 286.89 -372.76 l 286.89 -371.888 286.183 -371.18 285.311 -371.18 c 284.439 -371.18 283.731 -371.888 283.731 -372.76 c 283.731 -373.631 284.439 -374.339 285.311 -374.339 c 286.183 -374.339 286.89 -373.631 286.89 -372.76 c s Q 300.914 379.906 m 300.914 379.906 l 300.914 379.017 300.22 378.296 299.365 378.296 c 298.51 378.296 297.816 379.017 297.816 379.906 c 297.816 380.795 298.51 381.517 299.365 381.517 c 300.22 381.517 300.914 380.795 300.914 379.906 c f* q 0.9808 0 0 -1.0196 0 0 cm 306.817 -372.598 m 306.817 -372.598 l 306.817 -371.726 306.109 -371.018 305.237 -371.018 c 304.365 -371.018 303.658 -371.726 303.658 -372.598 c 303.658 -373.469 304.365 -374.177 305.237 -374.177 c 306.109 -374.177 306.817 -373.469 306.817 -372.598 c s Q BT 5.958 0 0 6.194 263.53 418.002 Tm BX /GS1 gs EX (HF FILTER)Tj ET 1 g BX /GS3 gs EX 267.488 395.975 3.966 -2.553 re f* 1 G 2 J 0 j q 0.9808 0 0 -1.0196 0 0 cm 272.735 -388.357 4.044 2.504 re S Q 0 G 2 j q 0.9808 0 0 -1.0196 0 0 cm 278.233 -388.494 m 270.821 -388.494 l 278.233 -385.578 m 270.821 -385.578 l S Q BT 5.958 0 0 6.194 259.057 376.965 Tm 0 g BX /GS1 gs EX (R1)Tj ET 0 j 1.013 w BX /GS3 gs EX q 0.9808 0 0 -1.0196 0 0 cm 258.336 -407.389 51.032 47.306 re S Q 1 g 319.365 418.605 3.966 -2.553 re f* 1 G 0.759 w q 0.9808 0 0 -1.0196 0 0 cm 325.63 -410.551 4.044 2.504 re S Q 0 G 2 j q 0.9808 0 0 -1.0196 0 0 cm 331.128 -410.689 m 323.716 -410.689 l 331.128 -407.773 m 323.716 -407.773 l 327.432 -399.207 m 341.851 -399.207 l S Q 0 g 322.761 406.914 m 322.761 406.914 l 322.761 406.025 322.067 405.303 321.212 405.303 c 320.357 405.303 319.663 406.025 319.663 406.914 c 319.663 407.803 320.357 408.524 321.212 408.524 c 322.067 408.524 322.761 407.803 322.761 406.914 c f* 0 J 1 j q 0.9808 0 0 -1.0196 0 0 cm 329.093 -399.085 m 329.093 -399.085 l 329.093 -398.214 328.385 -397.506 327.513 -397.506 c 326.641 -397.506 325.934 -398.214 325.934 -399.085 c 325.934 -399.957 326.641 -400.665 327.513 -400.665 c 328.385 -400.665 329.093 -399.957 329.093 -399.085 c s Q 2 J 2 j q 0.9808 0 0 -1.0196 0 0 cm 341.911 -380.657 m 341.911 -399.126 l 344.767 -380.03 m 339.117 -380.03 l S Q 0.657 w q 0.9808 0 0 -1.0196 0 0 cm 341.911 -379.908 m 340.089 -376.931 l 338.995 -380.03 m 337.173 -377.053 l 344.949 -380.03 m 343.126 -377.053 l S Q 1 g 333.805 402.476 m 336.375 401.307 l 336.375 392.067 l 333.804 393.118 l 333.805 402.476 l f* 1 G 0.759 w q 0.9808 0 0 -1.0196 0 0 cm 340.353 -394.733 m 342.973 -393.586 l 342.973 -384.525 l 340.352 -385.555 l 340.353 -394.733 l s Q 0 G q 0.9808 0 0 -1.0196 0 0 cm 341.795 -395.04 m 343.695 -394.171 l 339.679 -392.349 l 343.719 -390.47 l 339.679 -388.619 l 343.695 -386.825 l 339.679 -384.974 l 341.723 -384.105 l S Q BT 5.958 0 0 6.194 256.078 392.192 Tm 0 g BX /GS1 gs EX (C1)Tj 11.875 3.583 TD (C3)Tj -0.417 -3.167 TD (R3)Tj ET 0 j 1.013 w BX /GS3 gs EX q 0.9808 0 0 -1.0196 0 0 cm 318.601 -419.296 29.891 46.901 re S Q 1 g 322.841 436.936 m 322.841 436.936 l 322.841 435.91 322.04 435.078 321.053 435.078 c 320.067 435.078 319.266 435.91 319.266 436.936 c 319.266 437.962 320.067 438.794 321.053 438.794 c 322.04 438.794 322.841 437.962 322.841 436.936 c f* 0 J 1 j 0.759 w q 0.9808 0 0 -1.0196 0 0 cm 329.174 -428.53 m 329.174 -428.53 l 329.174 -427.524 328.357 -426.707 327.351 -426.707 c 326.345 -426.707 325.529 -427.524 325.529 -428.53 c 325.529 -429.536 326.345 -430.352 327.351 -430.352 c 328.357 -430.352 329.174 -429.536 329.174 -428.53 c s Q 2 J 2 j q 0.9808 0 0 -1.0196 0 0 cm 350.923 -366.806 m 479.717 -366.806 l S Q BT 5.958 0 0 6.194 350.998 260.821 Tm 0 g BX /GS1 gs EX (VCO + DATA)Tj -1.402 -1.1 TD (TRANSFER LOGIC)Tj ET 1 g BX /GS3 gs EX 400.152 330.855 m 381.191 330.855 l 390.714 313.439 l 400.152 330.855 l f* q 0.9808 0 0 -1.0196 0 0 cm 408.001 -324.49 m 388.668 -324.49 l 398.378 -307.409 l 408.001 -324.49 l s Q 360.142 372.305 m 361.26 375.085 l 370.098 375.085 l 369.094 372.304 l 360.142 372.305 l f* 1 G q 0.9808 0 0 -1.0196 0 0 cm 367.207 -365.142 m 368.347 -367.869 l 377.358 -367.869 l 376.334 -365.141 l 367.207 -365.142 l s Q 0 G q 0.9808 0 0 -1.0196 0 0 cm 366.901 -366.643 m 367.765 -368.619 l 369.577 -364.441 l 371.446 -368.644 l 373.286 -364.441 l 375.071 -368.619 l 376.911 -364.441 l 377.775 -366.568 l S Q BT 5.958 0 0 6.257 361.831 378.457 Tm 0 g BX /GS1 gs EX -0.014 Tc (R4)Tj ET 0 j 1.013 w BX /GS3 gs EX q 0.9808 0 0 -1.0196 0 0 cm 360.156 -379.93 24.057 20.656 re S Q 392.116 373.712 m 392.116 373.712 l 392.116 372.823 391.422 372.101 390.567 372.101 c 389.712 372.101 389.018 372.823 389.018 373.712 c 389.018 374.601 389.712 375.322 390.567 375.322 c 391.422 375.322 392.116 374.601 392.116 373.712 c f* 0 J 1 j 0.759 w q 0.9808 0 0 -1.0196 0 0 cm 399.808 -366.522 m 399.808 -366.522 l 399.808 -365.65 399.1 -364.943 398.228 -364.943 c 397.357 -364.943 396.649 -365.65 396.649 -366.522 c 396.649 -367.394 397.357 -368.102 398.228 -368.102 c 399.1 -368.102 399.808 -367.394 399.808 -366.522 c s Q BT 5.958 0 0 6.194 394.07 357.865 Tm BX /GS1 gs EX 0 Tc (INTEGRATOR)Tj T* (I/P)Tj ET 2 J 2 j BX /GS3 gs EX q 0.9808 0 0 -1.0196 0 0 cm 408.273 -367.049 m 408.273 -412.248 l S Q 402.126 373.712 m 402.126 373.712 l 402.126 372.823 401.432 372.101 400.577 372.101 c 399.722 372.101 399.028 372.823 399.028 373.712 c 399.028 374.601 399.722 375.322 400.577 375.322 c 401.432 375.322 402.126 374.601 402.126 373.712 c f* 0 J 1 j q 0.9808 0 0 -1.0196 0 0 cm 410.014 -366.522 m 410.014 -366.522 l 410.014 -365.65 409.307 -364.943 408.435 -364.943 c 407.563 -364.943 406.855 -365.65 406.855 -366.522 c 406.855 -367.394 407.563 -368.102 408.435 -368.102 c 409.307 -368.102 410.014 -367.394 410.014 -366.522 c s Q 1 g 399.108 415.112 m 401.678 413.943 l 401.678 404.704 l 399.107 405.754 l 399.108 415.112 l f* 1 G 2 J 2 j q 0.9808 0 0 -1.0196 0 0 cm 406.937 -407.126 m 409.557 -405.979 l 409.557 -396.918 l 406.936 -397.948 l 406.937 -407.126 l s Q 0 G q 0.9808 0 0 -1.0196 0 0 cm 408.379 -407.434 m 410.279 -406.565 l 406.263 -404.742 l 410.303 -402.863 l 406.263 -401.013 l 410.279 -399.218 l 406.263 -397.368 l 408.307 -396.498 l 390.799 -417.587 m 424.82 -417.587 l S Q 0 g 401.871 419.563 m 400.768 423.28 l 400.232 423.28 l 399.16 419.563 l 401.871 419.563 l f* 0.253 w q 0.9808 0 0 -1.0196 0 0 cm 409.754 -411.491 m 408.63 -415.137 l 408.083 -415.137 l 406.989 -411.491 l 409.754 -411.491 l s Q 1 g 404.712 427.182 m 403.587 424.51 l 394.7 424.51 l 395.711 427.182 l 404.712 427.182 l f* 1 G 0.759 w q 0.9808 0 0 -1.0196 0 0 cm 412.651 -418.963 m 411.504 -416.343 l 402.443 -416.343 l 403.473 -418.964 l 412.651 -418.963 l s Q 0 G q 0.9808 0 0 -1.0196 0 0 cm 412.958 -417.521 m 412.089 -415.621 l 410.266 -419.637 l 408.388 -415.597 l 406.537 -419.637 l 404.743 -415.621 l 402.892 -419.637 l 402.023 -417.593 l S Q 418.77 425.538 m 418.77 425.538 l 418.77 424.513 417.969 423.68 416.982 423.68 c 415.996 423.68 415.195 424.513 415.195 425.538 c 415.195 426.564 415.996 427.397 416.982 427.397 c 417.969 427.397 418.77 426.564 418.77 425.538 c f* 0 J 1 j q 0.9808 0 0 -1.0196 0 0 cm 426.984 -417.352 m 426.984 -417.352 l 426.984 -416.345 426.168 -415.529 425.162 -415.529 c 424.156 -415.529 423.339 -416.345 423.339 -417.352 c 423.339 -418.358 424.156 -419.174 425.162 -419.174 c 426.168 -419.174 426.984 -418.358 426.984 -417.352 c s Q 385.642 425.538 m 385.642 425.538 l 385.642 424.513 384.841 423.68 383.854 423.68 c 382.867 423.68 382.067 424.513 382.067 425.538 c 382.067 426.564 382.867 427.397 383.854 427.397 c 384.841 427.397 385.642 426.564 385.642 425.538 c f* q 0.9808 0 0 -1.0196 0 0 cm 393.206 -417.352 m 393.206 -417.352 l 393.206 -416.345 392.39 -415.529 391.384 -415.529 c 390.378 -415.529 389.561 -416.345 389.561 -417.352 c 389.561 -418.358 390.378 -419.174 391.384 -419.174 c 392.39 -419.174 393.206 -418.358 393.206 -417.352 c s Q BT 5.958 0 0 6.194 396.842 430.649 Tm 0 g BX /GS1 gs EX (R9)Tj 1.333 -3.792 TD (R8)Tj 2.763 2.542 TD (\32012V)Tj -9.139 0 TD (+12V)Tj 1.696 2.708 TD (OFFSET ADJUST)Tj ET 2 J 0 j 1.013 w BX /GS3 gs EX q 0.9808 0 0 -1.0196 0 0 cm 369.148 -439.952 79.705 47.63 re S Q 2 j 0.759 w q 0.9808 0 0 -1.0196 0 0 cm 454.687 -217.761 m 454.687 -366.603 l S Q 1 g 444.25 349.227 3.966 -2.553 re f* 1 G 0 j q 0.9808 0 0 -1.0196 0 0 cm 452.965 -342.508 4.044 2.504 re S Q 0 G 2 j q 0.9808 0 0 -1.0196 0 0 cm 458.464 -342.647 m 451.052 -342.647 l 458.464 -339.731 m 451.052 -339.731 l S Q BT 5.958 0 0 6.194 451.708 345.219 Tm 0 g BX /GS1 gs EX (C4)Tj 1.042 2.042 TD (C5)Tj ET BX /GS3 gs EX q 0.9808 0 0 -1.0196 0 0 cm 479.717 -366.806 m 479.717 -300.222 l S Q 1 g 468.799 362.359 3.966 -2.553 re f* 1 G 0 j q 0.9808 0 0 -1.0196 0 0 cm 477.995 -355.388 4.044 2.504 re S Q 0 G 2 j q 0.9808 0 0 -1.0196 0 0 cm 483.494 -355.526 m 476.082 -355.526 l 483.494 -352.61 m 476.082 -352.61 l S Q 469.178 342.266 m 471.748 341.097 l 471.748 331.858 l 469.177 332.908 l 469.178 342.266 l f* 1 G q 0.9808 0 0 -1.0196 0 0 cm 478.381 -335.682 m 481.002 -334.535 l 481.002 -325.474 l 478.381 -326.504 l 478.381 -335.682 l s Q 0 G q 0.9808 0 0 -1.0196 0 0 cm 479.823 -335.989 m 481.723 -335.12 l 477.707 -333.298 l 481.747 -331.419 l 477.707 -329.568 l 481.723 -327.774 l 477.707 -325.923 l 479.751 -325.054 l S Q BT 5.958 0 0 6.194 459.653 334.637 Tm 0 g BX /GS1 gs EX (R5)Tj ET BX /GS3 gs EX 471.918 306.235 m 471.918 306.235 l 471.918 305.346 471.224 304.624 470.369 304.624 c 469.514 304.624 468.82 305.346 468.82 306.235 c 468.82 307.124 469.514 307.845 470.369 307.845 c 471.224 307.845 471.918 307.124 471.918 306.235 c f* 0 J 1 j q 0.9808 0 0 -1.0196 0 0 cm 481.175 -300.343 m 481.175 -300.343 l 481.175 -299.471 480.468 -298.764 479.596 -298.764 c 478.724 -298.764 478.016 -299.471 478.016 -300.343 c 478.016 -301.215 478.724 -301.923 479.596 -301.923 c 480.468 -301.923 481.175 -301.215 481.175 -300.343 c s Q 447.608 373.877 m 447.608 373.877 l 447.608 372.988 446.914 372.267 446.059 372.267 c 445.204 372.267 444.51 372.988 444.51 373.877 c 444.51 374.766 445.204 375.488 446.059 375.488 c 446.914 375.488 447.608 374.766 447.608 373.877 c f* q 0.9808 0 0 -1.0196 0 0 cm 456.388 -366.684 m 456.388 -366.684 l 456.388 -365.812 455.681 -365.105 454.809 -365.105 c 453.937 -365.105 453.229 -365.812 453.229 -366.684 c 453.229 -367.556 453.937 -368.264 454.809 -368.264 c 455.681 -368.264 456.388 -367.556 456.388 -366.684 c s Q 2 J 0 j 1.013 w q 0.9808 0 0 -1.0196 0 0 cm 445.209 -373.855 44.47 59.053 re S Q 1 g 491.819 306.358 m 491.819 306.358 l 491.819 305.333 491.018 304.5 490.031 304.5 c 489.045 304.5 488.244 305.333 488.244 306.358 c 488.244 307.384 489.045 308.217 490.031 308.217 c 491.018 308.217 491.819 307.384 491.819 306.358 c f* 0 J 1 j 0.759 w q 0.9808 0 0 -1.0196 0 0 cm 501.466 -300.465 m 501.466 -300.465 l 501.466 -299.459 500.65 -298.642 499.644 -298.642 c 498.638 -298.642 497.821 -299.459 497.821 -300.465 c 497.821 -301.471 498.638 -302.287 499.644 -302.287 c 500.65 -302.287 501.466 -301.471 501.466 -300.465 c s Q BT 5.958 0 0 6.194 224.759 351.155 Tm 0 g BX /GS1 gs EX (AC ERROR O/P)Tj 13.395 15.458 TD (REFERENCE)Tj 2.472 -1.1 TD (I/P)Tj 20.404 -8.025 TD (BANDWIDTH)Tj 0.221 -1.1 TD (SELECTION)Tj ET 1 g BX /GS3 gs EX 444.439 284.039 m 447.009 282.87 l 447.009 273.631 l 444.438 274.681 l 444.439 284.039 l f* 1 G 2 J 2 j q 0.9808 0 0 -1.0196 0 0 cm 453.157 -278.575 m 455.778 -277.428 l 455.778 -268.367 l 453.156 -269.397 l 453.157 -278.575 l s Q 0 G q 0.9808 0 0 -1.0196 0 0 cm 454.599 -278.883 m 456.499 -278.013 l 452.483 -276.191 l 456.523 -274.312 l 452.483 -272.461 l 456.499 -270.667 l 452.483 -268.816 l 454.527 -267.947 l S Q BT 5.958 0 0 6.194 434.578 275.791 Tm 0 g BX /GS1 gs EX (R6)Tj ET 0 j 1.013 w BX /GS3 gs EX q 0.9808 0 0 -1.0196 0 0 cm 439.499 -285.763 21.87 25.03 re S Q 447.608 258.91 m 447.608 258.91 l 447.608 258.021 446.914 257.299 446.059 257.299 c 445.204 257.299 444.51 258.021 444.51 258.91 c 444.51 259.799 445.204 260.52 446.059 260.52 c 446.914 260.52 447.608 259.799 447.608 258.91 c f* 0 J 1 j 0.759 w q 0.9808 0 0 -1.0196 0 0 cm 456.388 -253.929 m 456.388 -253.929 l 456.388 -253.057 455.681 -252.349 454.809 -252.349 c 453.937 -252.349 453.229 -253.057 453.229 -253.929 c 453.229 -254.8 453.937 -255.508 454.809 -255.508 c 455.681 -255.508 456.388 -254.8 456.388 -253.929 c s Q 1 g 444.439 249.929 m 447.009 248.76 l 447.009 239.521 l 444.438 240.571 l 444.439 249.929 l f* 1 G 2 J 2 j q 0.9808 0 0 -1.0196 0 0 cm 453.157 -245.121 m 455.778 -243.974 l 455.778 -234.912 l 453.156 -235.943 l 453.157 -245.121 l s Q 0 G q 0.9808 0 0 -1.0196 0 0 cm 454.599 -245.428 m 456.499 -244.559 l 452.483 -242.736 l 456.523 -240.858 l 452.483 -239.007 l 456.499 -237.213 l 452.483 -235.362 l 454.527 -234.493 l S Q 444.25 232.773 3.966 -2.553 re f* 1 G 0 j q 0.9808 0 0 -1.0196 0 0 cm 452.965 -228.294 4.044 2.504 re S Q 0 G 2 j q 0.9808 0 0 -1.0196 0 0 cm 458.464 -228.433 m 451.052 -228.433 l 458.464 -225.517 m 451.052 -225.517 l 457.846 -217.781 m 452.197 -217.781 l S Q 0.657 w q 0.9808 0 0 -1.0196 0 0 cm 454.991 -217.66 m 453.169 -214.683 l 452.075 -217.781 m 450.253 -214.804 l 458.029 -217.781 m 456.206 -214.804 l S Q BT 5.958 0 0 6.194 450.219 241.464 Tm 0 g BX /GS1 gs EX (R7)Tj 0.292 -2.042 TD (C6)Tj 0.576 8.208 TD (TRACKING)Tj 0 -1.1 TD (RATE)Tj T* (SELECTION)Tj 4.333 5.075 TD (VELOCITY)Tj 0 -1.1 TD (SIGNAL)Tj -10.5 -6.483 TD (VCO)Tj 0 -1.1 TD (I/P)Tj ET 1 g BX /GS3 gs EX 324.986 223.478 m 324.986 223.478 l 324.986 222.452 324.185 221.619 323.198 221.619 c 322.212 221.619 321.411 222.452 321.411 223.478 c 321.411 224.504 322.212 225.336 323.198 225.336 c 324.185 225.336 324.986 224.504 324.986 223.478 c f* 0 J 1 j 0.759 w q 0.9808 0 0 -1.0196 0 0 cm 331.361 -219.178 m 331.361 -219.178 l 331.361 -218.172 330.544 -217.356 329.538 -217.356 c 328.532 -217.356 327.716 -218.172 327.716 -219.178 c 327.716 -220.184 328.532 -221.001 329.538 -221.001 c 330.544 -221.001 331.361 -220.184 331.361 -219.178 c s Q 306.396 223.478 m 306.396 223.478 l 306.396 222.452 305.595 221.619 304.608 221.619 c 303.622 221.619 302.821 222.452 302.821 223.478 c 302.821 224.504 303.622 225.336 304.608 225.336 c 305.595 225.336 306.396 224.504 306.396 223.478 c f* q 0.9808 0 0 -1.0196 0 0 cm 312.406 -219.178 m 312.406 -219.178 l 312.406 -218.172 311.59 -217.356 310.584 -217.356 c 309.577 -217.356 308.761 -218.172 308.761 -219.178 c 308.761 -220.184 309.577 -221.001 310.584 -221.001 c 311.59 -221.001 312.406 -220.184 312.406 -219.178 c s Q 187.603 215.947 m 181.41 215.947 176.313 217.223 176.313 218.774 c 187.604 218.774 l 187.603 215.947 l f* q 0.9808 0 0 -1.0196 0 0 cm 191.283 -211.792 m 184.968 -211.792 179.771 -213.044 179.771 -214.565 c S Q 212.026 215.941 m 218.219 215.941 223.317 214.664 223.317 213.114 c 212.026 213.114 l 212.026 215.941 l f* q 0.9808 0 0 -1.0196 0 0 cm 216.185 -211.786 m 222.5 -211.786 227.697 -210.535 227.697 -209.014 c S Q 223.167 213.114 m 223.167 214.664 228.265 215.941 234.458 215.941 c 234.458 213.114 l 223.167 213.114 l f* q 0.9808 0 0 -1.0196 0 0 cm 227.545 -209.014 m 227.545 -210.535 232.742 -211.786 239.057 -211.786 c S Q 269.276 218.743 m 269.276 217.192 264.179 215.916 257.985 215.916 c 257.985 218.743 l 269.276 218.743 l f* q 0.9808 0 0 -1.0196 0 0 cm 274.558 -214.535 m 274.558 -213.014 269.361 -211.762 263.046 -211.762 c S Q 2 J 2 j q 0.9808 0 0 -1.0196 0 0 cm 191.943 -211.787 m 215.475 -211.787 l 239.311 -211.756 m 262.843 -211.756 l S Q BT 5.958 0 0 6.194 131.698 211.782 Tm 0 g BX /GS1 gs EX [(SC1)-805(SC2)]TJ -3.458 0 TD (DATA)Tj -0.028 -1.1 TD (LOAD)Tj 10.015 10.558 TD (16-BIT UP/DOWN COUNTER)Tj -2.737 -11.5 TD (ENABLE)Tj 8.486 0.833 TD (16 DATA BITS)Tj 11.819 1.167 TD (BYTE)Tj -0.639 -1.1 TD (SELECT)Tj 4.444 1.142 TD (+5V)Tj 3.39 -0.042 TD (DIG)Tj -0.222 -1.1 TD (GND)Tj 3.472 1.1 TD [(BUSY)-1139(DIRN)]TJ /F21 1 Tf 7.272 -0.058 TD -0.035 Tc (INHIBIT)Tj /F20 1 Tf -48.154 18.433 TD 0 Tc (SIN)Tj -2.472 -1.458 TD (SIG GND)Tj 1.972 -2.5 TD (COS)Tj -0.055 -2.083 TD (GND)Tj -1.307 -2.417 TD (RIPPLE)Tj 1.557 -1.1 TD (CLK)Tj -0.391 -1.525 TD (+12V)Tj 0.028 -1.375 TD (\32012V)Tj 32.842 24 TD (R2)Tj ET BX /GS3 gs EX 447.418 306.284 m 447.418 306.284 l 447.418 305.395 446.723 304.674 445.868 304.674 c 445.013 304.674 444.319 305.395 444.319 306.284 c 444.319 307.173 445.013 307.895 445.868 307.895 c 446.723 307.895 447.418 307.173 447.418 306.284 c f* 0 J 1 j q 0.9808 0 0 -1.0196 0 0 cm 456.194 -300.392 m 456.194 -300.392 l 456.194 -299.52 455.486 -298.812 454.614 -298.812 c 453.743 -298.812 453.035 -299.52 453.035 -300.392 c 453.035 -301.264 453.743 -301.971 454.614 -301.971 c 455.486 -301.971 456.194 -301.264 456.194 -300.392 c s Q BT /F5 1 Tf 9 0 1.913 9 209.76 187.68 Tm 0 0 0 1 k BX /GS2 gs EX 0.008 Tc 0.002 Tw [(Figure 1.)-493(AD2S80A Connection Diagram)]TJ ET endstream endobj 19 0 obj <> endobj 20 0 obj <>/Font<>/ProcSet[/PDF/Text]>> endobj 21 0 obj <>stream 0 0 0 1 K 0 J 0 j 0.96 w 10 M []0 d BX /GS1 gs EX 1 i 54.48 755.52 521.04 -27.24 re S BT /F8 1 Tf 18 0 0 18 502.44 735.12 Tm 0 0 0 1 k BX /GS2 gs EX -0.01 Tc (AD2S80A)Tj /F5 1 Tf 9.5 0 0 9.5 53.04 17.16 Tm 0.026 Tc 0 Tw (REV. A)Tj 26.754 -0.038 TD 0.05 Tc (\3207\320)Tj /F12 1 Tf 8.35 0 0 9 54 712.68 Tm 0.008 Tc 0.02 Tw (CONVERTER OPERATION)Tj /F14 1 Tf 8.85 0 0 9.5 54 701.64 Tm 0.006 Tc 0.01 Tw (When connected in a circuit such as shown in Figure 1 the)Tj 0 -1.162 TD 0.019 Tw (AD2S80A operates as a tracking resolver-to-digital converter)Tj 0 -1.149 TD 0.011 Tw (and forms a Type 2 closed-loop system. The output will auto-)Tj 0 -1.162 TD 0.013 Tw (matically follow the input for speeds up to the selected maxi-)Tj T* 0.012 Tw (mum tracking rate. No convert command is necessary as the)Tj 0 -1.149 TD 0.015 Tw (conversion is automatically initiated by each LSB increment, or)Tj 0 -1.162 TD 0.012 Tw (decrement, of the input. Each LSB change of the converter ini-)Tj T* 0.013 Tw (tiates a BUSY pulse.)Tj 0 -1.579 TD 0.014 Tw (The AD2S80A is remarkably tolerant of input amplitude and)Tj 0 -1.149 TD (frequency variation because the conversion depends only on the)Tj 0 -1.162 TD 0.012 Tw (ratio of the input signals. Consequently there is no need for ac-)Tj T* 0.014 Tw (curate, stable oscillator to produce the reference signal. The in-)Tj 0 -1.149 TD (clusion of the phase sensitive detector in the conversion loop)Tj 0 -1.162 TD 0.011 Tw (ensures a high immunity to signals that are not coherent or are)Tj T* 0.016 Tw (in quadrature with the reference signal.)Tj /F12 1 Tf 8.35 0 0 9 54 521.64 Tm 0.008 Tc 0.018 Tw (SIGNAL CONDITIONING)Tj /F14 1 Tf 8.85 0 0 9.5 54 510.6 Tm 0.006 Tc 0.012 Tw (The amplitude of the SINE and COSINE signal inputs should)Tj 0 -1.149 TD (be maintained within 10% of the nominal values if full perfor-)Tj 0 -1.162 TD 0.014 Tw (mance is required from the velocity signal.)Tj 0 -1.579 TD 0.016 Tw (The digital position output is relatively insensitive to amplitude)Tj 0 -1.162 TD 0.013 Tw (variation. Increasing the input signal levels by more than 10%)Tj 0 -1.149 TD 0.012 Tw (will result in a loss in accuracy due to internal overload. Reduc-)Tj 0 -1.162 TD (ing levels will result in a steady decline in accuracy. With the)Tj T* (signal levels at 50% of the correct value, the angular error will)Tj 0 -1.149 TD 0.011 Tw (increase to an amount equivalent to 1.3 LSB. At this level the)Tj 0 -1.162 TD (repeatability will also degrade to 2 LSB and the dynamic re-)Tj T* 0.015 Tw (sponse will also change, since the dynamic characteristics are)Tj 0 -1.149 TD 0.016 Tw (proportional to the signal level.)Tj 0 -1.579 TD 0.011 Tw (The AD2S80A will not be damaged if the signal inputs are)Tj 0 -1.162 TD 0.013 Tw (applied to the converter without the power supplies and/or the)Tj T* 0.008 Tc (reference.)Tj /F12 1 Tf 8.35 0 0 9 54 326.64 Tm 0.012 Tw (REFERENCE INPUT)Tj /F14 1 Tf 8.85 0 0 9.5 54 315.6 Tm 0.006 Tc 0.014 Tw (The amplitude of the reference signal applied to the converter\325s)Tj 0 -1.149 TD 0.01 Tw (input is not critical, but care should be taken to ensure it is kept)Tj 0 -1.162 TD 0.02 Tw (within the recommended operating limits.)Tj 0 -1.579 TD 0.012 Tw (The AD2S80A will not be damaged if the reference is supplied)Tj 0 -1.162 TD 0.013 Tw (to the converter without the power supplies and/or the signal)Tj 0 -1.149 TD 0.008 Tc (inputs.)Tj /F12 1 Tf 8.35 0 0 9 54 234.6 Tm 0.021 Tw (HARMONIC DISTORTION)Tj /F14 1 Tf 8.85 0 0 9.5 54 223.68 Tm 0.006 Tc 0.013 Tw (The amount of harmonic distortion allowable on the signal and)Tj 0 -1.162 TD 0.015 Tw (reference lines is 10%.)Tj 0 -1.579 TD 0.012 Tw (Square waveforms can be used but the input levels should be)Tj 0 -1.162 TD 0.01 Tw (adjusted so that the average value is 1.9 V rms. \(For example, a)Tj 0 -1.149 TD 0.013 Tw (square wave should be 1.9 V peak.\) Triangular and sawtooth)Tj 0 -1.162 TD 0.011 Tw (waveforms should have a amplitude of 2 V rms.)Tj 0 -1.579 TD 0.013 Tw (Note: The figure specified of 10% harmonic distortion is for)Tj 0 -1.162 TD 0.028 Tw (calibration convenience only.)Tj /F12 1 Tf 8.35 0 0 9 323.52 712.2 Tm 0.008 Tc 0.016 Tw (POSITION OUTPUT)Tj /F14 1 Tf 8.85 0 0 9.5 323.52 701.16 Tm 0.006 Tc 0.014 Tw (The resolver shaft position is represented at the converter out-)Tj T* 0.012 Tw (put by a natural binary parallel digital word. As the digital posi-)Tj 0 -1.149 TD 0.013 Tw (tion output of the converter passes through the major carries,)Tj 0 -1.162 TD 0.01 Tw (i.e., all \3221s\323 to all \3220s\323 or the converse, a RIPPLE CLOCK)Tj T* 0.013 Tw (\(RC\) logic output is initiated indicating that a revolution or a)Tj 0 -1.149 TD 0.012 Tw (pitch of the input has been completed.)Tj 0 -1.579 TD 0.013 Tw (The direction of input rotation is indicated by the DIRECTION)Tj 0 -1.162 TD 0.012 Tw (\(DIR\) logic output. This direction data is always valid in ad-)Tj T* 0.011 Tw (vance of a RIPPLE CLOCK pulse and, as it is internally)Tj 0 -1.149 TD 0.012 Tw (latched, only changing state \(1 LSB min change\) with a corre-)Tj 0 -1.162 TD 0.018 Tw (sponding change in direction.)Tj 0 -1.579 TD 0.012 Tw (Both the RIPPLE CLOCK pulse and the DIRECTION data)Tj 0 -1.162 TD 0.013 Tw (are unaffected by the application of the )Tj ET 0.475 w 516.96 569.195 m 478.92 569.195 l S BT /F17 1 Tf 8.85 0 0 9.5 478.92 561.12 Tm (INHIBIT)Tj /F14 1 Tf 4.312 0 TD (. The static)Tj -21.871 -1.149 TD (positional accuracy quoted is the worst case error that can occur)Tj 0 -1.162 TD 0.014 Tw (over the full operating temperature excluding the effects of off-)Tj T* 0.012 Tw (set signals at the INTEGRATOR INPUT \(which can be)Tj 0 -1.149 TD 0.015 Tw (trimmed out\321see Figure 1\), and with the following conditions:)Tj 0 -1.162 TD 0.013 Tw (input signal amplitudes are within 10% of the nominal; phase)Tj T* (shift between signal and reference is less than 10 degrees.)Tj 0 -1.579 TD 0.016 Tw (These operating conditions are selected primarily to establish a)Tj 0 -1.149 TD 0.014 Tw (repeatable acceptance test procedure which can be traced to na-)Tj 0 -1.162 TD 0.012 Tw [(tional standards. In practice, the AD2S80A can be used well)]TJ T* 0.017 Tw (outside these operating conditions providing the above points)Tj 0 -1.149 TD 0.024 Tw (are observed.)Tj /F12 1 Tf 8.35 0 0 9 323.52 414.12 Tm 0.008 Tc 0.011 Tw (VELOCITY SIGNAL)Tj /F14 1 Tf 8.85 0 0 9.5 323.52 403.2 Tm 0.006 Tc 0.015 Tw (The tracking converter technique generates an internal signal at)Tj 0 -1.162 TD (the output of the integrator \(the INTEGRATOR OUTPUT)Tj T* 0.011 Tw (pin\) that is proportional to the rate of change of the input angle.)Tj 0 -1.149 TD (This is a dc analog output referred to as the VELOCITY signal.)Tj 0 -1.579 TD (In many applications it is possible to use the velocity signal of)Tj 0 -1.162 TD 0.018 Tw (the AD2S80A to replace a conventional tachogenerator.)Tj /F12 1 Tf 8.35 0 0 9 323.52 322.2 Tm 0.008 Tc 0.007 Tw (DC ERROR SIGNAL)Tj /F14 1 Tf 8.85 0 0 9.5 323.52 311.16 Tm 0.006 Tc 0.011 Tw (The signal at the output of the phase sensitive detector \(DE-)Tj T* (MODULATOR OUTPUT\) is the signal to be nulled by the)Tj 0 -1.149 TD 0.013 Tw (tracking loop and is, therefore, proportional to the error be-)Tj 0 -1.162 TD 0.011 Tw (tween the input angle and the output digital angle. This is the)Tj T* 0.01 Tw (dc error of the converter; and as the converter is a Type 2 servo)Tj 0 -1.149 TD (loop, it will increase if the output fails to track the input for any)Tj 0 -1.162 TD 0.012 Tw (reason. It is an indication that the input has exceeded the maxi-)Tj T* 0.011 Tw (mum tracking rate of the converter or, due to some internal)Tj 0 -1.149 TD 0.012 Tw (malfunction, the converter is unable to reach a null. By connect-)Tj 0 -1.162 TD (ing two external comparators, this voltage can be used as a)Tj T* 0.008 Tc (\322built-in-test.\323)Tj ET endstream endobj 22 0 obj <> endobj 23 0 obj <>/Font<>/ProcSet[/PDF/Text]>> endobj 24 0 obj <>stream BT /F8 1 Tf 18 0 0 18 36 735.24 Tm 0 0 0 1 k BX /GS2 gs EX -0.01 Tc (AD2S80A)Tj /F5 1 Tf 9.5 0 0 9.5 526.08 18.48 Tm 0.026 Tc 0 Tw (REV. A)Tj -24.909 -0.013 TD 0.05 Tc (\3208\320)Tj /F12 1 Tf 8.35 0 0 9 36 712.68 Tm 0.008 Tc 0.017 Tw (COMPONENT SELECTION)Tj /F14 1 Tf 8.85 0 0 9.5 36 701.64 Tm 0.006 Tc 0.015 Tw (The following instructions describe how to select the external)Tj 0 -1.162 TD 0.013 Tw (components for the converter in order to achieve the required)Tj 0 -1.149 TD 0.014 Tw (bandwidth and tracking rate. In all cases the nearest \322preferred)Tj 0 -1.162 TD 0.012 Tw (value\323 component should be used, and a 5% tolerance will not)Tj T* 0.015 Tw (degrade the overall performance of the converter. Care should)Tj 0 -1.149 TD 0.013 Tw (be taken that the resistors and capacitors will function over the)Tj 0 -1.162 TD 0.019 Tw (required operating temperature range. The components should)Tj T* 0.011 Tw (be connected as shown in Figure 1.)Tj /F16 1 Tf 0 -1.579 TD 0.013 Tw (PG compatible software is available to help users select the optimum)Tj 0 -1.149 TD 0.014 Tw (component values for the AD2S80A, and display the transfer gain,)Tj 0 -1.162 TD (phase and small step response.)Tj /F14 1 Tf 0 -1.579 TD -0.014 Tc [(F)-22(or more detailed information and explanation, )]TJ 20.447 0 TD 0.006 Tc (see section \322CIR-)Tj -20.447 -1.162 TD 0.019 Tw (CUIT FUNCTIONS AND DYNAMIC PERFORMANCE.\323)Tj 0 -1.579 TD 0.009 Tw (1. HF Filter \(R1, R2, C1, C2\))Tj 1.125 -1.149 TD 0.01 Tw (The function of the HF filter is to remove any dc offset and)Tj 0 -1.162 TD 0.011 Tw (to reduce the amount of noise present on the signal inputs to)Tj T* 0.015 Tw (the AD2S80A, reaching the Phase Sensitive Detector and af-)Tj 0 -1.149 TD 0.012 Tw (fecting the outputs. R1 and C2 may be omitted\321in which)Tj 0 -1.162 TD 0.01 Tw (case R2 = R3 and C1 = C3, calculated below\321but their use)Tj T* 0.015 Tw (is particularly recommended if noise from switch mode power)Tj 0 -1.149 TD (supplies and brushless motor drive is present.)Tj -0.108 -1.579 TD 0.012 Tw (Values should be chosen so that)Tj 6.115 -4.598 TD 0.008 Tc 0 Tw ( )Tj /F27 1 Tf 8.997 0 0 9.008 119.4 395.28 Tm 0 g BX /GS1 gs EX 0 Tc ( )Tj /F28 1 Tf 0 0 TD ( )Tj /F13 1 Tf 9.496 0 0 9.508 120.4 434.219 Tm (15)Tj /F15 1 Tf 1.289 0 TD (k)Tj /F21 1 Tf 0.553 0 TD 0.255 Tc (W\243)Tj /F15 1 Tf 1.862 0 TD (R)Tj /F13 1 Tf 0.684 0 TD (1)Tj /F21 1 Tf 0.727 0 TD (=)Tj /F15 1 Tf 0.852 0 TD (R)Tj /F13 1 Tf 0.737 0 TD (2)Tj /F21 1 Tf 0.763 0 TD (\243)Tj /F13 1 Tf 0.786 0 TD 0 Tc (56)Tj /F15 1 Tf 1.342 0 TD (k)Tj /F21 1 Tf 0.553 0 TD (W)Tj /F15 1 Tf -10.095 -2.602 TD (C)Tj /F13 1 Tf 0.737 0 TD (1)Tj /F21 1 Tf 0.727 0 TD (=)Tj /F15 1 Tf 0.799 0 TD (C)Tj /F13 1 Tf 0.789 0 TD (2)Tj 3.141 0.714 TD (1)Tj -2.405 -1.737 TD (2)Tj /F21 1 Tf 0.78 0 TD (p)Tj /F15 1 Tf 0.845 0 TD (R)Tj /F13 1 Tf 0.684 0 TD (1)Tj /F15 1 Tf 0.895 0 TD (f)Tj 6.997 0 0 7.006 190.061 396.781 Tm (REF)Tj ET 0 G 0 J 0 j 0.5 w 10 M []0 d 1 i 156.386 411.95 m 208.054 411.95 l S BT /F14 1 Tf 8.85 0 0 9.5 45 381.24 Tm 0 0 0 1 k BX /GS2 gs EX 0.006 Tc 0.016 Tw (and f)Tj 5.95 0 0 6.4 64.92 379.68 Tm (REF)Tj 8.85 0 0 9.5 77.28 381.24 Tm 0.007 Tc 0.002 Tw [( )-13(= )-13(Reference )-13(frequency)-9460( \(Hz\))]TJ -3.539 -2.312 TD 0.006 Tc 0.011 Tw (This filter gives an attenuation of three times at the input to)Tj 0 -1.162 TD 0.018 Tw (the phase sensitive detector.)Tj -1.125 -1.579 TD 0.016 Tw [(2.)-265(Gain Scaling Resistor \(R4\))]TJ 1.125 -1.162 TD 0.009 Tw (If R1, C2 arc fitted then:)Tj /F28 1 Tf 8.996 0 0 9 116.76 290.16 Tm 0 g BX /GS1 gs EX 0 Tc 0 Tw ( )Tj /F27 1 Tf 0 0 TD ( )Tj /F15 1 Tf 9.496 0 0 9.5 118.759 298.16 Tm (R)Tj /F13 1 Tf 0.737 0 TD (4)Tj /F21 1 Tf 0.753 0 TD (=)Tj /F15 1 Tf 2.053 0.661 TD (E)Tj 6.528 0 0 6.531 159.148 302.629 Tm (DC)Tj /F13 1 Tf 9.496 0 0 9.5 140 291.722 Tm (100)Tj /F21 1 Tf 1.832 0 TD (\264)Tj /F13 1 Tf 0.586 0 TD (10)Tj 6.528 0 0 6.531 173.985 294.097 Tm -0.007 Tc (\2619)Tj ET 0.5 w 140.25 300.629 m 182.2 300.629 l S BT /F21 1 Tf 9.496 0 0 9.5 183.793 298.16 Tm (\264)Tj /F13 1 Tf 0.77 0.661 TD (1)Tj 0 -1.339 TD (3)Tj ET 190.603 300.629 m 196.85 300.629 l S BT /F21 1 Tf 9.496 0 0 9.5 198.786 298.16 Tm (W)Tj /F14 1 Tf 8.85 0 0 9.5 45.96 276.48 Tm 0 0 0 1 k BX /GS2 gs EX 0.006 Tc 0.012 Tw (where 100 )Tj /F21 1 Tf 4.895 0 TD (\264)Tj /F14 1 Tf 0.556 0 TD [( 10)]TJ 5.95 0 0 6.4 106.68 280.2 Tm (\3209)Tj 8.85 0 0 9.5 112.92 276.48 Tm [( = current/LSB)]TJ -7.566 -1.162 TD 0.01 Tw (If R1, C2 are not fitted then:)Tj 6.766 -2.766 TD 0.008 Tc 0 Tw ( )Tj /F27 1 Tf 9.005 0 0 9 138.72 230.16 Tm 0 g BX /GS1 gs EX 0 Tc ( )Tj /F28 1 Tf 0 0 TD ( )Tj /F15 1 Tf 9.505 0 0 9.5 140.721 241.16 Tm (R)Tj /F13 1 Tf 0.737 0 TD (4)Tj /F21 1 Tf 0.832 0 TD (=)Tj /F15 1 Tf 2.266 0.714 TD (E)Tj 7.004 0 0 7 183.43 244.972 Tm (DC)Tj /F13 1 Tf 9.505 0 0 9.5 163.732 231.222 Tm (100)Tj /F21 1 Tf 1.878 0 TD (\264)Tj /F13 1 Tf 0.691 0 TD (10)Tj 7.004 0 0 7 199.407 236.566 Tm (\2619)Tj ET 0.5 w 163.732 243.629 m 208.411 243.629 l S BT /F21 1 Tf 9.505 0 0 9.5 210.099 241.16 Tm (W)Tj /F14 1 Tf 8.85 0 0 9.5 45.96 216 Tm 0 0 0 1 k BX /GS2 gs EX 0.006 Tc 0.016 Tw (where E)Tj 5.95 0 0 6.4 78 214.44 Tm (DC)Tj 8.85 0 0 9.5 92.04 216 Tm 0.009 Tw (= 160 )Tj /F21 1 Tf 2.929 0 TD (\264)Tj /F14 1 Tf 0.542 0 TD 0.015 Tc 0 Tw [( 1)9(0)]TJ 5.95 0 0 6.4 137.88 219.72 Tm 0.006 Tc (\3203)Tj 8.85 0 0 9.5 144.12 216 Tm 0.009 Tw [( for 10 bits resolution)]TJ -5.885 -1.579 TD 0.006 Tw (= 40 )Tj /F21 1 Tf 2.359 0 TD (\264)Tj /F14 1 Tf 0.556 0 TD 0.012 Tc 0 Tw [( 1)6(0)]TJ 5.95 0 0 6.4 132.84 204.72 Tm 0.006 Tc (\3203)Tj 8.85 0 0 9.5 139.2 201 Tm 0.006 Tw [( for 12 bits)]TJ -5.329 -1.579 TD (= 10 )Tj /F21 1 Tf 2.359 0 TD (\264)Tj /F14 1 Tf 0.556 0 TD 0.012 Tc 0 Tw [( 1)6(0)]TJ 5.95 0 0 6.4 132.84 189.72 Tm 0.006 Tc (\3203)Tj 8.85 0 0 9.5 139.2 186 Tm 0.006 Tw [( for 14 bits)]TJ -5.329 -1.579 TD 0.007 Tw (= 2.5 )Tj /F21 1 Tf 2.658 0 TD (\264)Tj /F14 1 Tf 0.556 0 TD [( 10)]TJ 5.95 0 0 6.4 132.96 174.72 Tm (\3203)Tj 8.85 0 0 9.5 139.2 171 Tm [( for 16 bits)]TJ -5.329 -1.579 TD 0.009 Tw (= Scaling of the DC ERROR in volts)Tj -6.332 -1.579 TD 0.012 Tw [(3.)-265(AC Coupling of Reference Input \(R3, C3\))]TJ 1.125 -1.149 TD 0.01 Tw (Select R3 and C3 so that there is no significant phase shift at)Tj 0 -1.162 TD 0.016 Tw (the reference frequency. That is,)Tj 6.658 -4.598 TD 0.008 Tc 0 Tw ( )Tj /F27 1 Tf 8.996 0 0 8.985 137.76 59.76 Tm 0 g BX /GS1 gs EX 0 Tc ( )Tj /F28 1 Tf 0 0 TD ( )Tj /F15 1 Tf 9.495 0 0 9.484 139.759 98.6 Tm (R)Tj /F13 1 Tf 0.789 0 TD (3)Tj /F21 1 Tf 0.78 0 TD (=)Tj /F13 1 Tf 0.747 0 TD (100)Tj /F15 1 Tf 1.895 0 TD (k)Tj /F21 1 Tf 0.553 0 TD (W)Tj /F15 1 Tf -4.816 -2.602 TD (C)Tj /F13 1 Tf 0.842 0 TD (3)Tj /F21 1 Tf 0.766 0 TD (>)Tj /F13 1 Tf 2.974 0.714 TD (1)Tj /F15 1 Tf -2.033 -1.737 TD (R)Tj /F13 1 Tf 0.789 0 TD (3)Tj /F21 1 Tf 0.773 0 TD (\264)Tj /F15 1 Tf 0.954 0 TD (f)Tj 6.997 0 0 6.988 190.109 61.258 Tm (REF)Tj ET 0.499 w 162.467 76.388 m 208.101 76.388 l S BT 9.495 0 0 9.484 211.099 73.923 Tm (F)Tj /F14 1 Tf 8.85 0 0 9.5 45.96 44.64 Tm 0 0 0 1 k BX /GS2 gs EX 0.006 Tc 0.008 Tw (with R3 in )Tj /F21 1 Tf 4.936 0 TD (W)Tj /F14 1 Tf 0.759 0 TD (.)Tj 23.634 70.32 TD 0.016 Tw [(4.)-265(Maximum Tracking Rate \(R6\))]TJ 1.125 -1.162 TD 0.012 Tw (The VCO input resistor R6 sets the maximum tracking rate)Tj T* 0.011 Tw (of the converter and hence the velocity scaling as at the max)Tj 0 -1.149 TD 0.012 Tw (tracking rate, the velocity output will be 8 V.)Tj 0 -1.579 TD 0.014 Tw (Decide on your maximum tracking rate, \322T,\323 in revolutions)Tj 0 -1.162 TD 0.011 Tw [(per second. Note that \322T\323)24( must not exceed the maximum)]TJ T* 0.014 Tw (tracking rate or 1/16 of the reference frequency.)Tj /F27 1 Tf 9 0 0 9 389.76 603.96 Tm 0 g BX /GS1 gs EX 0 Tc 0 Tw ( )Tj /F28 1 Tf 0 0 TD ( )Tj /F15 1 Tf 9.5 0 0 9.5 391.76 614.96 Tm (R)Tj /F13 1 Tf 0.737 0 TD (6)Tj /F21 1 Tf 0.832 0 TD (=)Tj /F13 1 Tf 0.905 0.714 TD -0.026 Tc [(6.)-155(3)-26(2)]TJ /F21 1 Tf 2.22 0 TD (\264)Tj /F13 1 Tf 0.691 0 TD 0 Tc (10)Tj 7 0 0 7 452.666 627.085 Tm (10)Tj /F15 1 Tf 9.5 0 0 9.5 427.51 605.241 Tm (T)Tj /F21 1 Tf 0.984 0 TD (\264)Tj /F15 1 Tf 0.743 0 TD (n)Tj ET 0.5 w 414.76 617.429 m 462.166 617.429 l S BT /F21 1 Tf 9.5 0 0 9.5 464.854 614.96 Tm (W)Tj /F16 1 Tf 8.85 0 0 9.5 305.52 593.64 Tm 0 0 0 1 k BX /GS2 gs EX 0.014 Tc ( )Tj /F14 1 Tf 0.868 0 TD 0.006 Tc 0.008 Tw (where n = bits per revolution)Tj 3.878 -1.162 TD 0.011 Tw (= 1,024 for 10 bits resolution)Tj 0 -1.149 TD 0.009 Tw (= 4,096 for 12 bits)Tj 0 -1.162 TD 0.01 Tw (= 16,384 for 14 bits)Tj T* (= 65,536 for 16 bits)Tj -4.746 -1.579 TD 0.017 Tw [(5.)-265(Closed-Loop Bandwidth Selection \(C4, C5, R5\))]TJ 1.125 -1.149 TD [(a.)-460(Choose the closed-loop bandwidth \(f)]TJ 5.95 0 0 6.4 471.24 522 Tm (BW)Tj 8.85 0 0 9.5 481.44 523.68 Tm (\) required)Tj -17.505 -1.162 TD 0.013 Tw (ensuring that the ratio of reference frequency to band-)Tj T* 0.015 Tw (width does not exceed the following guidelines:)Tj -1.247 -1.579 TD 0.025 Tw [(Resolution)-3479(Ratio of Reference Frequency/Bandwidth)]TJ 1.247 -1.149 TD 0.004 Tw [(10)-10559(2)-43(.)-43(5)-493(: 1)]TJ 0 -1.162 TD 0.007 Tc 0.003 Tw [(12)-10558(4)-1434(:)-94( 1)]TJ T* [(14)-10558(6)-1434(:)1( 1)]TJ 0 -1.149 TD 0.006 Tc 0.004 Tw [(16)-9556(7)-37(.)-37(5)-1509(: 1)]TJ -1.247 -1.579 TD 0.01 Tw (Typical values may be 100 Hz for a 400 Hz reference fre-)Tj 0 -1.162 TD 0.009 Tw (quency and 500 Hz to 1000 Hz for a 5 kHz reference)Tj T* 0.008 Tc (frequency.)Tj 0 -1.579 TD 0.006 Tc 0.011 Tw [(b.)-381(Select C4 so that)]TJ 7.159 -2.552 TD 0.008 Tc 0 Tw ( )Tj /F28 1 Tf 9 0 0 9 406.68 357.36 Tm 0 g BX /GS1 gs EX 0 Tc ( )Tj /F27 1 Tf 0 0 TD ( )Tj /F15 1 Tf 9.5 0 0 9.5 408.18 368.36 Tm (C)Tj /F13 1 Tf 0.789 0 TD (4)Tj /F21 1 Tf 0.753 0 TD (=)Tj /F13 1 Tf 2.563 0.661 TD (21)Tj /F15 1 Tf -1.684 -1.339 TD (R)Tj /F13 1 Tf 0.711 0 TD (6)Tj /F21 1 Tf 0.668 0 TD (\264)Tj /F15 1 Tf 0.849 0 TD (f)Tj 6.531 0 0 6.531 455.086 360.985 Tm (BW)Tj /F13 1 Tf 1.852 0.632 TD (2)Tj ET 0.5 w 430.18 370.829 m 474.18 370.829 l S BT /F15 1 Tf 9.5 0 0 9.5 476.43 368.36 Tm (F)Tj /F14 1 Tf 8.85 0 0 9.5 315.48 343.2 Tm 0 0 0 1 k BX /GS2 gs EX 0.006 Tc 0.009 Tw (with R6 in )Tj /F21 1 Tf 4.922 0 TD (W)Tj /F14 1 Tf 0.786 0 TD [( and f)]TJ 5.95 0 0 6.4 388.44 341.64 Tm (BW)Tj 8.85 0 0 9.5 398.52 343.2 Tm (, in Hz selected above.)Tj -9.383 -1.579 TD [(c.)-472(C5 is given by)]TJ 8.488 -1.149 TD 0.008 Tc 0 Tw ( )Tj /F27 1 Tf 9.014 0 0 9 420.84 314.28 Tm 0 g BX /GS1 gs EX 0 Tc ( )Tj /F28 1 Tf 0 0 TD ( )Tj /F15 1 Tf 9.515 0 0 9.5 422.342 315.28 Tm (C)Tj /F13 1 Tf 0.789 0 TD (5)Tj /F21 1 Tf 0.78 0 TD (=)Tj /F13 1 Tf 0.799 0 TD (5)Tj /F21 1 Tf 0.72 0 TD (\264)Tj /F15 1 Tf 0.743 0 TD (C)Tj /F13 1 Tf 0.789 0 TD (4)Tj /F14 1 Tf 8.85 0 0 9.5 305.52 306.24 Tm 0 0 0 1 k BX /GS2 gs EX 0.006 Tc 0.003 Tw [( d.)-635(R5 )-6(is )-6(given )-6(by)]TJ 7.024 -2.804 TD 0.008 Tc 0 Tw ( )Tj /F27 1 Tf 9 0 0 9 387.84 269.64 Tm 0 g BX /GS1 gs EX 0 Tc ( )Tj /F28 1 Tf 0 0 TD ( )Tj /F15 1 Tf 9.5 0 0 9.5 389.84 283.64 Tm (R)Tj /F13 1 Tf 0.737 0 TD (5)Tj /F21 1 Tf 0.78 0 TD (=)Tj /F13 1 Tf 4.372 0.701 TD (4)Tj -3.467 -1.717 TD (2)Tj /F21 1 Tf 0.72 0 TD 0.237 Tc [(\264p)10(\264)]TJ /F15 1 Tf 2.516 0 TD (f)Tj 7 0 0 7 447.84 271.015 Tm 0 Tc (BW)Tj /F21 1 Tf 9.5 0 0 9.5 464.684 273.984 Tm (\264)Tj /F15 1 Tf 0.743 0 TD (C)Tj /F13 1 Tf 0.789 0 TD (5)Tj ET 412.34 286.109 m 484.746 286.109 l S BT /F21 1 Tf 9.5 0 0 9.5 487.434 283.64 Tm (W)Tj /F14 1 Tf 8.85 0 0 9.5 305.52 257.28 Tm 0 0 0 1 k BX /GS2 gs EX 0.006 Tc 0.02 Tw [(6.)-265(VCO Phase Compensation)]TJ 1.125 -1.162 TD 0.011 Tw (The following values of C6 and R7 should be fitted.)Tj /F27 1 Tf 9 0 0 9 379.2 225.36 Tm 0 g BX /GS1 gs EX 0 Tc 0 Tw ( )Tj /F28 1 Tf 0 0 TD ( )Tj /F15 1 Tf 9.5 0 0 9.5 380.7 229.36 Tm (C)Tj /F13 1 Tf 0.789 0 TD (6)Tj /F21 1 Tf 0.832 0 TD (=)Tj /F13 1 Tf 0.799 0 TD (470)Tj /F15 1 Tf 2 0 TD (pF)Tj /F13 1 Tf 1.128 0 TD (,)Tj /F15 1 Tf 0.737 0 TD (R)Tj /F13 1 Tf 0.737 0 TD (7)Tj /F21 1 Tf 0.78 0 TD (=)Tj /F13 1 Tf 0.799 0 TD (68)Tj /F21 1 Tf 1.362 0 TD (W)Tj /F14 1 Tf 8.85 0 0 9.5 305.52 214.8 Tm 0 0 0 1 k BX /GS2 gs EX 0.007 Tc 0.021 Tw [(7.)-264(Offset Adjust)]TJ 1.125 -1.149 TD 0.006 Tc 0.012 Tw (Offsets and bias currents at the integrator input can cause an)Tj 0 -1.162 TD 0.013 Tw (additional positional offset at the output of the converter of 1)Tj T* 0.012 Tw (arc minute typical, 5.3 arc minutes maximum. If this can be)Tj 0 -1.149 TD 0.011 Tw (tolerated, then R8 and R9 can be omitted from the circuit.)Tj 0 -1.579 TD (If fitted, the following values of R8 and R9 should be used:)Tj 3.241 -1.781 TD 0.008 Tc 0 Tw ( )Tj /F27 1 Tf 9 0 0 9 354.24 135 Tm 0 g BX /GS1 gs EX 0 Tc ( )Tj /F28 1 Tf 0 0 TD ( )Tj /F15 1 Tf 9.5 0 0 9.5 356.24 139 Tm (R)Tj /F13 1 Tf 0.737 0 TD (8)Tj /F21 1 Tf 0.832 0 TD (=)Tj /F13 1 Tf 0.799 0 TD -0.026 Tc [(4.)-102(7)]TJ /F15 1 Tf 1.737 0 TD (M)Tj /F21 1 Tf 0.997 0 TD (W)Tj /F13 1 Tf 0.839 0 TD (,)Tj /F15 1 Tf 0.526 0 TD (R)Tj /F13 1 Tf 0.737 0 TD (9)Tj /F21 1 Tf 0.78 0 TD (=)Tj /F13 1 Tf 0.747 0 TD (1)Tj /F15 1 Tf 0.789 0 TD (M)Tj /F21 1 Tf 0.997 0 TD (W)Tj /F15 1 Tf 1.102 0 TD 0 Tc (potentiometer)Tj /F14 1 Tf 8.85 0 0 9.5 315.48 123.48 Tm 0 0 0 1 k BX /GS2 gs EX 0.006 Tc 0.014 Tw (To adjust the zero offset, ensure the resolver is disconnected)Tj 0 -1.162 TD 0.013 Tw (and all the external components are fitted. Connect the COS)Tj T* 0.009 Tw (pin to the REFERENCE INPUT and the SIN pin to the)Tj 0 -1.149 TD 0.012 Tw (SIGNAL GROUND and with the power and reference ap-)Tj 0 -1.162 TD (plied, adjust the potentiometer to give all \3220s\323 on the digital)Tj T* 0.022 Tw (output bits.)Tj 0 -1.465 TD 0.013 Tw (The potentiometer may be replaced with select on test resis-)Tj 0 -1.162 TD 0.017 Tw (tors if preferred.)Tj ET endstream endobj 25 0 obj <> endobj 26 0 obj <>/Font<>/ProcSet[/PDF/Text]>> endobj 27 0 obj <>stream 0 0 0 1 K 0 J 0 j 0.96 w 10 M []0 d BX /GS1 gs EX 1 i 54.48 755.52 521.04 -27.24 re S BT /F8 1 Tf 18 0 0 18 502.44 735.12 Tm 0 0 0 1 k BX /GS2 gs EX -0.01 Tc (AD2S80A)Tj /F5 1 Tf 9.5 0 0 9.5 53.04 17.16 Tm 0.026 Tc 0 Tw (REV. A)Tj 26.754 -0.038 TD 0.05 Tc (\3209\320)Tj /F12 1 Tf 8.35 0 0 9 54 711.6 Tm 0.008 Tc 0.014 Tw (DATA TRANSFER)Tj /F14 1 Tf 8.85 0 0 9.5 54 700.68 Tm 0.006 Tc 0.011 Tw (To transfer data the )Tj ET 0.475 w 172.68 708.755 m 134.64 708.755 l S BT /F17 1 Tf 8.85 0 0 9.5 134.64 700.68 Tm (INHIBIT)Tj /F14 1 Tf 4.298 0 TD [( input should be used. The data)]TJ -13.41 -1.162 TD 0.01 Tw (will be valid 600 ns after the application of a logic \322LO\323 to the)Tj ET 92.04 686.675 m 54 686.675 l S BT /F17 1 Tf 8.85 0 0 9.5 54 678.6 Tm (INHIBIT)Tj /F14 1 Tf 4.312 0 TD 0.011 Tw (. This is regardless of the time when the )Tj ET 288.72 686.675 m 250.68 686.675 l S BT /F17 1 Tf 8.85 0 0 9.5 250.68 678.6 Tm (INHIBIT)Tj /F14 1 Tf 4.312 0 TD [( is)]TJ -26.536 -1.149 TD (applied and allows time for an active BUSY to clear. By using)Tj 0 -1.162 TD (the )Tj ET 106.92 664.715 m 68.76 664.715 l S BT /F17 1 Tf 8.85 0 0 9.5 68.76 656.64 Tm (ENABLE)Tj /F14 1 Tf 4.312 0 TD [( input the two bytes of data can be transferred af-)]TJ -5.98 -1.162 TD (ter which the )Tj ET 145.8 653.675 m 107.76 653.675 l S BT /F17 1 Tf 8.85 0 0 9.5 107.76 645.6 Tm (INHIBIT)Tj /F14 1 Tf 4.298 0 TD [( should be returned to a logic \322HI\323 state)]TJ -10.373 -1.149 TD 0.012 Tw (to enable the output latches to be updated.)Tj /F12 1 Tf 8.35 0 0 9 54 619.68 Tm 0.008 Tc (BUSY Output)Tj /F14 1 Tf 8.85 0 0 9.5 54 608.64 Tm 0.006 Tc 0.01 Tw (The validity of the output data is indicated by the state of the)Tj 0 -1.162 TD 0.012 Tw (BUSY output. When the input to the converter is changing, the)Tj 0 -1.149 TD 0.011 Tw (signal appearing on the BUSY output is a series of pulses at)Tj 0 -1.162 TD (TTL level. A BUSY pulse is initiated each time the input moves)Tj T* (by the analog equivalent of one LSB and the internal counter is)Tj 0 -1.149 TD 0.027 Tw (incremented or decremented.)Tj ET 0.45 w 91.32 546.33 m 54 546.33 l S BT /F29 1 Tf 8.35 0 0 9 54 538.68 Tm 0.008 Tc (INHIBIT)Tj /F12 1 Tf 4.469 0 TD 0.007 Tw [( Input)]TJ /F14 1 Tf 8.85 0 0 9.5 54 527.64 Tm 0.006 Tc (The )Tj ET 0.475 w 110.64 535.715 m 72.6 535.715 l S BT /F17 1 Tf 8.85 0 0 9.5 72.6 527.64 Tm (INHIBIT)Tj /F14 1 Tf 4.312 0 TD 0.013 Tw [( logic input only inhibits the data transfer from)]TJ -6.414 -1.162 TD 0.014 Tw (the up-down counter to the output latches and, therefore, does)Tj 0 -1.149 TD (not interrupt the operation of the tracking loop. Releasing the)Tj ET 92.04 502.715 m 54 502.715 l S BT /F17 1 Tf 8.85 0 0 9.5 54 494.64 Tm (INHIBIT)Tj /F14 1 Tf 4.312 0 TD [( automatically generates a BUSY pulse to refresh the)]TJ -4.312 -1.162 TD 0.02 Tw (output data.)Tj ET 0.45 w 92.4 476.25 m 54 476.25 l S BT /F29 1 Tf 8.35 0 0 9 54 468.6 Tm 0.008 Tc (ENABLE)Tj /F12 1 Tf 4.599 0 TD 0.006 Tw [( Input)]TJ /F14 1 Tf 8.85 0 0 9.5 54 457.68 Tm 0.006 Tc (The )Tj ET 0.475 w 110.76 465.755 m 72.6 465.755 l S BT /F17 1 Tf 8.85 0 0 9.5 72.6 457.68 Tm (ENABLE)Tj /F14 1 Tf 4.312 0 TD 0.011 Tw [( input determines the state of the output data. A)]TJ -6.414 -1.162 TD 0.012 Tw (logic \322HI\323 maintains the output data pins in the high imped-)Tj T* 0.013 Tw (ance condition, and the application of a logic \322LO\323 presents the)Tj 0 -1.149 TD 0.011 Tw (data in the latches to the output pins. The operation of the)Tj ET 92.16 421.715 m 54 421.715 l S BT /F17 1 Tf 8.85 0 0 9.5 54 413.64 Tm (ENABLE)Tj /F14 1 Tf 4.312 0 TD 0.013 Tw [( has no effect on the conversion process.)]TJ /F12 1 Tf 8.35 0 0 9 54 398.64 Tm 0.008 Tc 0.008 Tw (BYTE SELECT Input)Tj /F14 1 Tf 8.85 0 0 9.5 54 387.6 Tm 0.006 Tc 0.011 Tw (The BYTE SELECT input selects the byte of the position data)Tj 0 -1.149 TD 0.01 Tw (to be presented at the data output DB1 to DB8. The least sig-)Tj 0 -1.162 TD 0.012 Tw (nificant byte will be presented on data output DB9 to DB16)Tj T* (\(with the )Tj ET 129.96 362.675 m 91.8 362.675 l S BT /F17 1 Tf 8.85 0 0 9.5 91.8 354.6 Tm (ENABLE)Tj /F14 1 Tf 4.312 0 TD [( input taken to a logic \322LO\323\) regardless of)]TJ -8.583 -1.149 TD 0.01 Tw (the state of the BYTE SELECT pin. Note that when the)Tj 0 -1.162 TD 0.011 Tw (AD2S80A is used with a resolution less than 16 bits the unused)Tj T* 0.009 Tw (data lines are pulled to a logic \322LO.\323 A logic \322HI\323 on the BYTE)Tj 0 -1.149 TD 0.014 Tw (SELECT input will present the eight most significant data bits)Tj 0 -1.162 TD 0.01 Tw (on data output DB1 and DB8. A logic \322LO\323 will present the)Tj T* 0.011 Tw (least significant byte on data outputs 1 to 8, i.e., data outputs 1)Tj 0 -1.149 TD 0.01 Tw (to 8 will duplicate data outputs 9 to 16.)Tj 0 -1.579 TD (The operation of the BYTE SELECT has no effect on the con-)Tj 0 -1.162 TD 0.017 Tw (version process of the converter.)Tj /F12 1 Tf 8.35 0 0 9 54 229.68 Tm 0.008 Tc 0.012 Tw (RIPPLE CLOCK)Tj /F14 1 Tf 8.85 0 0 9.5 54 218.64 Tm 0.006 Tc (As the output of the converter passes through the major carry,)Tj T* 0.01 Tw (i.e., all \3221s\323 to all \3220s\323 or the converse, a positive going edge on)Tj 0 -1.149 TD 0.013 Tw (the RIPPLE CLOCK \(RC\) output is initiated indicating that a)Tj 0 -1.162 TD 0.012 Tw (revolution, or a pitch, of the input has been completed.)Tj 0 -1.579 TD 0.011 Tw (The minimum pulse width of the ripple clock is 300 ns.)Tj 0 -1.162 TD (RIPPLE CLOCK is normally set high before a BUSY pulse and)Tj 0 -1.149 TD 0.013 Tw (resets before the next positive going edge of the next consecutive)Tj 0 -1.162 TD 0.008 Tc (pulse.)Tj 0 -1.579 TD 0.006 Tc 0.011 Tw (The only exception to this is when DIR changes whist the)Tj 0 -1.162 TD 0.012 Tw (RIPPLE CLOCK is high. Resetting of the RIPPLE clock will)Tj 0 -1.149 TD (only occur if the DIR remains stable for two consecutive posi-)Tj 0 -1.162 TD 0.014 Tw (tive BUSY pulse edges.)Tj 0 -1.579 TD 0.011 Tw (If the AD2S80A is being used in a pitch and revolution count-)Tj 0 -1.162 TD (ing application, the ripple and busy will need to be gated to pre-)Tj 0 -1.149 TD 0.013 Tw (vent false decrement or increment \(see Figure 2\).)Tj 0 -1.579 TD 0.015 Tw (RIPPLE CLOCK is unaffected by )Tj ET 228.84 45.755 m 190.8 45.755 l S BT /F17 1 Tf 8.85 0 0 9.5 190.8 37.68 Tm (INHIBIT)Tj /F14 1 Tf 4.298 0 TD (.)Tj ET 0 G 2 J 0.723 w 4 M BX /GS1 gs EX q 1.0027 0 0 0.9973 0 0 cm 432.024 717.458 m 470.562 717.458 l 456.833 684.941 m 392.282 684.941 l S Q 0 g 389.844 682.968 m 395.291 684.94 l 395.291 680.997 l 389.844 682.968 l f* 0.482 w q 1.0027 0 0 0.9973 0 0 cm 394.222 684.821 m 395.774 684.821 l S Q 0.723 w q 1.0027 0 0 0.9973 0 0 cm 464.059 717.458 m 464.059 692.408 l 439.25 717.217 m 439.25 685.182 l 400.23 673.862 m 400.23 653.99 l 397.42 674.102 m 403.201 674.102 l 429.134 654.111 m 390.355 654.111 l S Q 387.429 652.221 m 392.876 654.193 l 392.876 650.25 l 387.429 652.221 l f* 0.482 w q 1.0027 0 0 0.9973 0 0 cm 391.813 653.99 m 393.125 653.99 l S Q 0.723 w q 1.0027 0 0 0.9973 0 0 cm 429.375 654.111 m 429.375 684.58 l 464.782 696.503 m 486.7 696.503 l S Q 492.005 694.378 m 486.558 692.407 l 486.558 696.35 l 492.005 694.378 l f* 0.482 w q 1.0027 0 0 0.9973 0 0 cm 485.242 696.262 m 482.967 696.262 l S Q 0 J 0.723 w q 1.0027 0 0 0.9973 0 0 cm 440.864 717.305 m 440.864 716.512 440.211 715.86 439.419 715.86 c 438.626 715.86 437.974 716.512 437.974 717.305 c 437.974 718.098 438.626 718.75 439.419 718.75 c 440.211 718.75 440.864 718.098 440.864 717.305 c b* Q q 1.0027 0 0 0.9973 0 0 cm 465.689 696.318 m 465.689 695.525 465.036 694.873 464.244 694.873 c 463.451 694.873 462.798 695.525 462.798 696.318 c 462.798 697.111 463.451 697.763 464.244 697.763 c 465.036 697.763 465.689 697.111 465.689 696.318 c b* Q q 1.0027 0 0 0.9973 0 0 cm 401.78 653.91 m 401.78 653.117 401.128 652.465 400.335 652.465 c 399.542 652.465 398.89 653.117 398.89 653.91 c 398.89 654.703 399.542 655.355 400.335 655.355 c 401.128 655.355 401.78 654.703 401.78 653.91 c b* Q q 1.0027 0 0 0.9973 0 0 cm 430.908 684.837 m 430.908 684.044 430.256 683.392 429.463 683.392 c 428.67 683.392 428.018 684.044 428.018 684.837 c 428.018 685.63 428.67 686.282 429.463 686.282 c 430.256 686.282 430.908 685.63 430.908 684.837 c b* Q q 1.0027 0 0 0.9973 0 0 cm 440.896 684.741 m 440.896 683.948 440.244 683.295 439.451 683.295 c 438.658 683.295 438.006 683.948 438.006 684.741 c 438.006 685.533 438.658 686.186 439.451 686.186 c 440.244 686.186 440.896 685.533 440.896 684.741 c b* Q 1 g q 1.0027 0 0 0.9973 0 0 cm 492.762 709.549 m 499.827 709.549 l 499.827 702.966 l 507.535 702.966 l 507.535 709.549 l 515.563 709.549 l B* Q 1 G q 1.0027 0 0 0.9973 0 0 cm 462.775 712.019 m 465.372 710.883 l 465.372 701.901 l 462.774 702.922 l 462.775 712.019 l b* Q 0 G q 1.0027 0 0 0.9973 0 0 cm 464.204 712.324 m 466.088 711.463 l 462.107 709.656 l 466.111 707.794 l 462.107 705.96 l 466.088 704.181 l 462.107 702.347 l 464.133 701.485 l S Q 2 J q 1.0027 0 0 0.9973 0 0 cm 456.783 678.229 m 456.783 691.868 l 463.979 677.778 m 456.813 681.36 l 456.873 688.707 m 464.039 692.29 l S Q 0 g 0 J 0.241 w q 1.0027 0 0 0.9973 0 0 cm 461.485 680.487 m 464.264 677.831 l 464.025 677.331 l 460.277 677.958 l 461.485 680.487 l b* Q 0.723 w q 1.0027 0 0 0.9973 0 0 cm 419.881 656.885 m 419.881 651.164 l 414.762 654.055 l 419.881 656.885 l b* Q 2 J q 1.0027 0 0 0.9973 0 0 cm 414.642 657.126 m 414.642 650.863 l S Q 0 J q 1.0027 0 0 0.9973 0 0 cm 419.881 687.651 m 419.881 681.93 l 414.762 684.821 l 419.881 687.651 l b* Q 2 J q 1.0027 0 0 0.9973 0 0 cm 414.642 687.892 m 414.642 681.629 l S Q 1 g 1 G 0 J q 1.0027 0 0 0.9973 0 0 cm 438.079 712.019 m 440.676 710.883 l 440.676 701.901 l 438.078 702.922 l 438.079 712.019 l b* Q 0 G q 1.0027 0 0 0.9973 0 0 cm 439.508 712.324 m 441.391 711.463 l 437.411 709.656 l 441.415 707.794 l 437.411 705.96 l 441.391 704.181 l 437.411 702.347 l 439.437 701.485 l S Q 1 G q 1.0027 0 0 0.9973 0 0 cm 399.011 670.19 m 401.608 669.053 l 401.608 660.072 l 399.01 661.093 l 399.011 670.19 l b* Q 0 G q 1.0027 0 0 0.9973 0 0 cm 400.44 670.495 m 402.323 669.633 l 398.343 667.827 l 402.347 665.964 l 398.343 664.13 l 402.323 662.352 l 398.343 660.517 l 400.369 659.656 l S Q 2 J q 1.0027 0 0 0.9973 0 0 cm 460.783 667.537 m 467.545 667.537 l 466.489 665.981 m 461.781 665.983 l 465.381 664.42 m 462.879 664.42 l 464.068 677.611 m 464.059 667.72 l S Q BT /F19 1 Tf 5.796 0 0 5.765 407.837 688.493 Tm 0 g 0 Tc (IN4148)Tj 0 -5.25 TD (IN4148)Tj -6.958 4.458 TD (RIPPLE)Tj 0.042 -1.083 TD (CLOCK)Tj 4.792 -0.458 TD (+5V)Tj -1.292 -2.417 TD (5k1)Tj -3.042 -1.875 TD (BUSY)Tj 8.708 10.792 TD (+5V)Tj 0.917 -1.792 TD (10k)Tj 4.833 0.042 TD (1k)Tj 1.875 -3.667 TD (2N3904)Tj 0.708 -2.208 TD (0V)Tj 4.208 4.292 TD 0 Tw (TO COUNTER)Tj 0 -1.083 TD (\(CLOCK\))Tj -19.958 -8.333 TD (NOTE: DO NOT USE ABOVE CCT WHEN )Tj /F30 1 Tf 19.386 0 TD (INHIBIT)Tj ET 0.301 w q 1.0027 0 0 0.9973 0 0 cm 509.803 645.946 m 488.934 645.946 l S Q BT /F19 1 Tf 5.796 0 0 5.765 511.186 639.01 Tm ( IS "LO.")Tj ET 0 J 0.723 w q 1.0027 0 0 0.9973 0 0 cm 465.576 717.257 m 465.576 716.464 464.924 715.812 464.131 715.812 c 463.339 715.812 462.686 716.464 462.686 717.257 c 462.686 718.05 463.339 718.702 464.131 718.702 c 464.924 718.702 465.576 718.05 465.576 717.257 c b* Q 1 G q 1.0027 0 0 0.9973 0 0 cm 410.226 720.95 92.009 -0.964 re S Q BT /F5 1 Tf 9 0 1.913 9 355.56 617.64 Tm 0 0 0 1 k BX /GS2 gs EX 0.008 Tc 0.002 Tw [(Figure 2.)-493(Diode Transistor Logic Nand Gate)]TJ /F12 1 Tf 8.35 0 0 9 323.52 602.64 Tm 0.013 Tw (DIRECTION Output)Tj /F14 1 Tf 8.85 0 0 9.5 323.52 591.6 Tm 0.006 Tc 0.014 Tw (The DIRECTION \(DIR\) logic output indicates the direction of)Tj 0 -1.149 TD 0.011 Tw (the input rotation. Any change in the state of DIR precedes the)Tj 0 -1.162 TD 0.017 Tw (corresponding BUSY, DATA and RIPPLE CLOCK updates.)Tj T* 0.012 Tw (DIR can be considered as an asynchronous output and can)Tj 0 -1.149 TD 0.014 Tw (make multiple changes in state between two consecutive LSB)Tj 0 -1.162 TD 0.013 Tw (update cycles. This corresponds to a change in input rotation)Tj T* 0.011 Tw (direction but less than 1 LSB.)Tj /F12 1 Tf 8.35 0 0 9 411.24 509.64 Tm 0 Tc -0.001 Tw (DIGITAL TIMING)Tj ET 0 G 2 J 0.96 w BX /GS1 gs EX 398.119 480.644 m 427.489 480.644 l 427.489 480.644 m 437.855 491.009 l 438.718 491.009 m 471.544 491.009 l 481.91 480.644 m 471.544 491.009 l 481.91 480.644 m 515.599 480.644 l 515.599 480.644 m 526.829 491.009 l 526.829 491.009 m 541.514 491.009 l 397.255 456.459 m 411.94 456.459 l 411.94 456.459 m 422.306 466.824 l 422.306 466.824 m 489.684 466.824 l 500.05 456.459 m 489.684 466.824 l 500.05 456.459 m 542.377 456.459 l 397.255 434.865 m 446.493 434.865 l 461.178 421.044 m 446.493 434.865 l 446.493 421.044 m 461.178 434.865 l 397.255 421.044 m 446.493 421.044 l 461.178 434.865 m 542.377 434.865 l 461.178 421.044 m 542.377 421.044 l 397.471 392.54 m 407.837 392.54 l 418.85 403.121 m 543.241 403.121 l S 0.48 w 412.564 402.282 m 412.564 386.95 l S 0.96 w 402.438 374.401 m 412.804 384.766 l 402.438 374.401 m 397.255 374.401 l 412.804 384.766 m 543.241 384.766 l S 0.48 w 407.165 384.142 m 407.165 371.186 l S 0.96 w 397.255 367.491 m 406.757 367.491 l 417.987 357.126 m 543.241 357.126 l S 0.48 w 432.432 494.704 m 432.432 347.001 l S 0.96 w 397.255 339.851 m 432.672 339.851 l 443.038 329.485 m 432.672 339.851 l 443.901 329.485 m 543.241 329.485 l S 0.48 w 476.703 494.704 m 476.703 473.974 l 520.758 494.704 m 520.758 438.776 l S 0 g 476.943 478.053 m 471.531 476.083 l 471.531 480.022 l 476.943 478.053 l f* 432.672 478.053 m 438.083 480.022 l 438.083 476.084 l 432.672 478.053 l f* 438.083 478.053 m 471.531 478.053 l S BT /F19 1 Tf 7.678 0 0 7.678 421.466 448.733 Tm (t)Tj 5.759 0 0 5.758 424.585 446.573 Tm (2)Tj 7.678 0 0 7.678 440.662 440.335 Tm (t)Tj 5.759 0 0 5.758 443.301 437.216 Tm (4)Tj 7.678 0 0 7.678 442.102 412.982 Tm (t)Tj 5.759 0 0 5.758 445.221 410.823 Tm (5)Tj 7.678 0 0 7.678 416.667 376.992 Tm (t)Tj 5.759 0 0 5.758 420.746 374.833 Tm (7)Tj 7.678 0 0 7.678 419.546 348.2 Tm (t)Tj 5.759 0 0 5.758 422.666 346.52 Tm (8)Tj ET 494.627 471.383 m 494.627 439.423 l 416.883 470.519 m 416.883 448.061 l 453.595 421.284 m 453.595 444.606 l 458.131 428.194 m 458.131 407.464 l 411.916 367.731 m 411.916 347.001 l 438.479 342.682 m 438.479 301.437 l S 0.96 w 397.255 294.287 m 455.131 294.287 l 455.131 294.071 m 463.769 302.709 l 463.769 302.709 m 543.241 302.709 l 463.337 286.297 m 455.563 294.071 l 463.769 286.297 m 543.241 286.297 l 397.255 269.886 m 422.306 269.886 l 433.536 259.52 m 422.306 269.886 l 433.536 259.52 m 484.501 259.52 l 485.365 259.52 m 495.731 269.886 l 495.731 269.886 m 544.105 269.886 l S 0.48 w 428.113 274.444 m 428.113 209.662 l S 0.96 w 396.391 238.79 m 445.629 238.79 l 460.314 224.97 m 445.629 238.79 l 445.629 224.97 m 460.314 238.79 l 396.391 224.97 m 445.629 224.97 l 460.53 238.79 m 508.04 238.79 l 461.178 224.97 m 507.824 224.97 l S 0.48 w 490.308 274.444 m 490.308 209.662 l S 0.96 w 522.51 238.79 m 544.969 238.79 l 522.51 224.97 m 544.969 224.97 l S BT 7.678 0 0 7.678 442.821 305.012 Tm (t)Tj 5.759 0 0 5.758 445.701 303.812 Tm (10)Tj ET 0.48 w 455.755 324.543 m 455.755 277.036 l 441.934 288.265 m 441.934 277.036 l 456.619 244.213 m 456.619 209.662 l 514.927 244.213 m 514.927 209.662 l S 0.96 w 397.255 326.03 m 432.672 326.03 l 443.901 315.665 m 433.536 326.03 l 443.901 315.665 m 544.105 315.665 l S 0.48 w 477.351 340.954 m 477.351 278.763 l S 0.96 w 408.053 392.756 m 418.419 403.121 l 417.123 357.126 m 406.757 367.491 l 522.51 224.97 m 507.824 238.79 l 507.824 224.97 m 522.51 238.79 l S 1 g 1 G 0 J 0.72 w 449.3 483.667 10.366 -10.149 re B* BT 7.678 0 0 7.678 451.7 475.606 Tm 0 g (t)Tj 5.759 0 0 5.758 454.339 474.406 Tm (1)Tj ET 417.123 451.06 m 411.711 449.091 l 411.711 453.03 l 417.123 451.06 l f* 0 G 2 J 0.48 w 411.711 451.06 m 409.348 451.06 l S 432.24 451.06 m 437.651 453.03 l 437.651 449.091 l 432.24 451.06 l f* 437.651 451.06 m 439.798 451.06 l S 432.888 441.559 m 427.476 439.589 l 427.476 443.528 l 432.888 441.559 l f* 427.476 441.559 m 425.545 441.559 l S 453.404 441.559 m 458.815 443.528 l 458.815 439.59 l 453.404 441.559 l f* 458.815 441.559 m 460.53 441.559 l S 521.43 441.775 m 516.018 439.805 l 516.018 443.744 l 521.43 441.775 l f* 494.435 441.775 m 499.847 443.744 l 499.847 439.806 l 494.435 441.775 l f* 499.847 441.775 m 516.018 441.775 l S BT 7.678 0 0 7.678 504.009 445.614 Tm (t)Tj 5.759 0 0 5.758 507.368 442.734 Tm (3)Tj ET 458.586 409.168 m 453.175 407.198 l 453.175 411.137 l 458.586 409.168 l f* 432.24 409.168 m 437.651 411.137 l 437.651 407.198 l 432.24 409.168 l f* 437.651 409.168 m 453.175 409.168 l S 413.02 388.869 m 407.608 386.9 l 407.608 390.838 l 413.02 388.869 l f* 407.608 388.869 m 405.677 388.869 l S 432.456 388.869 m 437.867 390.838 l 437.867 386.9 l 432.456 388.869 l f* 437.867 388.869 m 439.582 388.869 l S 432.456 373.105 m 427.044 371.136 l 427.044 375.075 l 432.456 373.105 l f* 406.973 373.105 m 412.385 375.075 l 412.385 371.136 l 406.973 373.105 l f* 412.385 373.105 m 427.044 373.105 l S 412.372 350.216 m 406.96 348.246 l 406.96 352.185 l 412.372 350.216 l f* 406.96 350.216 m 405.029 350.216 l S 432.24 350.216 m 437.651 352.185 l 437.651 348.246 l 432.24 350.216 l f* 437.651 350.216 m 439.366 350.216 l S 477.591 338.339 m 472.179 336.369 l 472.179 340.308 l 477.591 338.339 l f* 438.287 338.339 m 443.698 340.308 l 443.698 336.37 l 438.287 338.339 l f* 443.698 338.339 m 472.179 338.339 l S 1 g 1 G 0 J 0.72 w 453.044 342.37 9.502 -9.717 re B* BT 7.678 0 0 7.678 454.579 335.963 Tm 0 g (t)Tj 5.759 0 0 5.758 458.178 332.844 Tm (9)Tj ET 438.718 307.027 m 433.307 305.058 l 433.307 308.997 l 438.718 307.027 l f* 0 G 2 J 0.48 w 433.307 307.027 m 431.376 307.027 l S 455.779 307.027 m 461.191 308.997 l 461.191 305.058 l 455.779 307.027 l f* 461.191 307.027 m 462.906 307.027 l S 442.39 281.762 m 436.978 279.793 l 436.978 283.732 l 442.39 281.762 l f* 436.978 281.762 m 435.047 281.762 l S 455.563 281.762 m 460.975 283.732 l 460.975 279.793 l 455.563 281.762 l f* 460.975 281.762 m 462.69 281.762 l S 456.859 212.229 m 451.447 210.26 l 451.447 214.199 l 456.859 212.229 l f* 428.137 212.229 m 433.548 214.199 l 433.548 210.26 l 428.137 212.229 l f* 433.548 212.229 m 451.447 212.229 l S 515.167 212.229 m 509.755 210.26 l 509.755 214.199 l 515.167 212.229 l f* 490.116 212.229 m 495.528 214.199 l 495.528 210.26 l 490.116 212.229 l f* 495.528 212.229 m 509.755 212.229 l S 1 g 1 G 0 J 0.72 w 387.753 495.76 151.601 -0.432 re B* BT 6.719 0 0 6.718 371.316 484.963 Tm 0 g (BUSY)Tj -0.571 -3.214 TD (RIPPLE)Tj 0.071 -1.071 TD (CLOCK)Tj 0.5 -4.5 TD (DATA)Tj 1.107 -8.75 TD (DIR)Tj -1.393 -11.321 TD (DATA)Tj 0.25 -4 TD (BYTE)Tj -1.286 -1.071 TD (SELECT)Tj 1.179 -4.071 TD (DATA)Tj /F30 1 Tf -0.679 25.071 TD (INHIBIT)Tj ET 0 G 0.349 w 390.052 404.153 m 365.797 404.153 l S BT 6.719 0 0 6.718 365.797 334.284 Tm (INHIBIT)Tj ET 390.052 340.33 m 365.797 340.33 l S BT 6.719 0 0 6.718 362.918 317.728 Tm (ENABLE)Tj ET 390.536 323.775 m 362.918 323.775 l S BT /F19 1 Tf 7.678 0 0 7.678 418.826 389.949 Tm (t)Tj 5.759 0 0 5.758 421.466 388.029 Tm (6)Tj 6.719 0 0 6.718 416.427 486.643 Tm (V)Tj 5.759 0 0 5.758 420.986 484.723 Tm (H)Tj 6.719 0 0 6.718 504.489 464.569 Tm (V)Tj 4.799 0 0 4.799 509.048 462.649 Tm (L)Tj 6.719 0 0 6.718 444.021 449.693 Tm (V)Tj 5.759 0 0 5.758 448.58 447.773 Tm (H)Tj 6.719 0 0 6.718 462.977 439.615 Tm (V)Tj 5.759 0 0 5.758 467.536 437.696 Tm (H)Tj 6.719 0 0 6.718 462.737 409.623 Tm (V)Tj 5.759 0 0 5.758 467.296 407.704 Tm (L)Tj 6.719 0 0 6.718 396.031 382.031 Tm (V)Tj 5.759 0 0 5.758 400.59 380.111 Tm (H)Tj 6.719 0 0 6.718 398.671 398.106 Tm (V)Tj 5.759 0 0 5.758 403.23 396.187 Tm (H)Tj 6.719 0 0 6.718 420.266 363.316 Tm (V)Tj 5.759 0 0 5.758 424.825 361.396 Tm (L)Tj 6.719 0 0 6.718 420.026 331.884 Tm (V)Tj 5.759 0 0 5.758 424.585 329.965 Tm (L)Tj 6.719 0 0 6.718 445.461 321.567 Tm (V)Tj 5.759 0 0 5.758 450.02 319.648 Tm (L)Tj 7.678 0 0 7.678 444.741 279.339 Tm (t)Tj 5.759 0 0 5.758 447.38 277.419 Tm (11)Tj 6.719 0 0 6.718 480.254 307.891 Tm (V)Tj 5.759 0 0 5.758 484.813 305.971 Tm (H)Tj 6.719 0 0 6.718 410.188 298.773 Tm (V)Tj 5.759 0 0 5.758 414.747 296.854 Tm (Z)Tj 6.719 0 0 6.718 432.744 266.142 Tm (V)Tj 5.759 0 0 5.758 437.303 264.223 Tm (L)Tj 6.719 0 0 6.718 480.254 278.379 Tm (V)Tj 5.759 0 0 5.758 484.813 276.459 Tm (L)Tj 6.719 0 0 6.718 495.85 261.583 Tm (V)Tj 5.759 0 0 5.758 500.409 259.664 Tm (H)Tj 6.719 0 0 6.718 523.925 243.348 Tm (V)Tj 5.759 0 0 5.758 528.484 241.429 Tm (H)Tj 6.719 0 0 6.718 524.165 217.435 Tm (V)Tj 5.759 0 0 5.758 528.724 215.516 Tm (L)Tj 7.678 0 0 7.678 438.262 215.756 Tm (t)Tj 5.759 0 0 5.758 440.902 213.836 Tm (12)Tj 7.678 0 0 7.678 498.49 215.516 Tm (t)Tj 5.759 0 0 5.758 501.129 213.596 Tm (13)Tj ET 0 0 0 1 K 0.96 w 10 M 324 186.36 m 324 36.48 l 323.52 186 m 576 186 l 323.52 174.96 m 576 174.96 l S BT /F10 1 Tf 6.5 0 0 7 326.76 177.48 Tm 0 0 0 1 k BX /GS2 gs EX [(PARAMETER)-3783(T)]TJ 4.35 0 0 4.7 395.52 176.28 Tm 0.002 Tc (MIN)Tj 6.5 0 0 7 421.44 177.48 Tm (T)Tj 4.35 0 0 4.7 425.52 176.28 Tm (MAX)Tj 6.5 0 0 7 454.44 177.48 Tm 0 Tc (CONDITION)Tj ET BX /GS1 gs EX 323.52 164.28 m 576 164.28 l S BT 6.5 0 0 7 326.76 166.8 Tm BX /GS2 gs EX (t)Tj 4.35 0 0 4.7 329.4 165.6 Tm (1)Tj 6.5 0 0 7 391.44 166.8 Tm 0.014 Tc 0.006 Tw [(200)-2904(600)-3365(BUSY WIDTH V)]TJ 4.35 0 0 4.7 503.64 165.6 Tm (H)Tj 6.5 0 0 7 506.88 166.8 Tm 0.013 Tc (\320V)Tj 4.35 0 0 4.7 515.04 165.6 Tm (H)Tj ET BX /GS1 gs EX 323.52 153.6 m 576 153.6 l S BT 6.5 0 0 7 326.76 156.12 Tm BX /GS2 gs EX (t)Tj 4.35 0 0 4.7 329.4 154.92 Tm (2)Tj 6.5 0 0 7 391.44 156.12 Tm 0.014 Tc 0.004 Tw [(10)-3472(25)-3934(RIPPLE CLOCK V)]TJ 4.35 0 0 4.7 507.48 154.92 Tm (H)Tj 6.5 0 0 7 510.72 156.12 Tm ( TO BUSY V)Tj 4.35 0 0 4.7 548.88 154.92 Tm (H)Tj ET BX /GS1 gs EX 323.52 143.04 m 576 143.04 l S BT 6.5 0 0 7 326.76 145.56 Tm BX /GS2 gs EX (t)Tj 4.35 0 0 4.7 329.4 144.36 Tm (3)Tj 6.5 0 0 7 391.44 145.56 Tm 0.003 Tw [(470)-2904(580)-3365(RIPPLE CLOCK V)]TJ 4.35 0 0 4.7 507.48 144.36 Tm (L)Tj 6.5 0 0 7 510 145.56 Tm 0.013 Tc 0.004 Tw ( TO NEXT BUSY V)Tj 4.35 0 0 4.7 568.08 144.36 Tm (H)Tj ET BX /GS1 gs EX 323.52 132.36 m 576 132.36 l S BT 6.5 0 0 7 326.76 134.88 Tm BX /GS2 gs EX (t)Tj 4.35 0 0 4.7 329.4 133.68 Tm (4)Tj 6.5 0 0 7 391.44 134.88 Tm 0.015 Tc 0.001 Tw [(16)-3471(45)-3933(BUSY V)]TJ 4.35 0 0 4.7 479.64 133.68 Tm (H)Tj 6.5 0 0 7 482.88 134.88 Tm 0.013 Tc 0.003 Tw ( TO DATA V)Tj 4.35 0 0 4.7 521.04 133.68 Tm (H)Tj ET BX /GS1 gs EX 323.52 121.68 m 576 121.68 l S BT 6.5 0 0 7 326.76 124.2 Tm BX /GS2 gs EX (t)Tj 4.35 0 0 4.7 329.4 123 Tm (5)Tj 6.5 0 0 7 391.44 124.2 Tm [(3)-4046(2)-4(5)-3935(BUSY V)]TJ 4.35 0 0 4.7 479.64 123 Tm (H)Tj 6.5 0 0 7 482.88 124.2 Tm ( TO DATA V)Tj 4.35 0 0 4.7 520.92 123 Tm (L)Tj ET BX /GS1 gs EX 323.52 111 m 576 111 l S BT 6.5 0 0 7 326.76 113.52 Tm BX /GS2 gs EX (t)Tj 4.35 0 0 4.7 329.4 112.32 Tm (6)Tj 6.5 0 0 7 391.44 113.52 Tm 0.016 Tc [(70)-3470(140)]TJ ET 0.392 w 478.56 119.82 m 454.44 119.82 l S BT /F26 1 Tf 6.5 0 0 7 454.44 113.52 Tm 0.013 Tc (INHIBIT)Tj /F10 1 Tf 3.711 0 TD 0.019 Tc 0 Tw ( V)Tj 4.35 0 0 4.7 485.28 112.32 Tm (H)Tj 6.5 0 0 7 488.52 113.52 Tm 0.013 Tc 0.006 Tw [( TO BUSY V)]TJ 4.35 0 0 4.7 526.8 112.32 Tm (H)Tj ET 0.96 w BX /GS1 gs EX 323.52 100.44 m 576 100.44 l S BT 6.5 0 0 7 326.76 102.96 Tm BX /GS2 gs EX (t)Tj 4.35 0 0 4.7 329.4 101.76 Tm (7)Tj 6.5 0 0 7 391.44 102.96 Tm 0.014 Tc 0.003 Tw [(485)-2904(625)-3365(MIN DIR V)]TJ 4.35 0 0 4.7 487.44 101.76 Tm (H)Tj 6.5 0 0 7 490.68 102.96 Tm 0.013 Tc 0.004 Tw ( TO BUSY V)Tj 4.35 0 0 4.7 528.84 101.76 Tm (H)Tj ET BX /GS1 gs EX 323.52 89.76 m 576 89.76 l S BT 6.5 0 0 7 326.76 92.28 Tm BX /GS2 gs EX (t)Tj 4.35 0 0 4.7 329.4 91.08 Tm (8)Tj 6.5 0 0 7 391.44 92.28 Tm 0.014 Tc 0.003 Tw [(515)-2904(670)-3365(MIN DIR V)]TJ 4.35 0 0 4.7 487.44 91.08 Tm (H)Tj 6.5 0 0 7 490.68 92.28 Tm 0.013 Tc 0.004 Tw ( TO BUSY VH)Tj ET BX /GS1 gs EX 323.52 79.08 m 576 79.08 l S BT 6.5 0 0 7 326.76 81.6 Tm BX /GS2 gs EX (t)Tj 4.35 0 0 4.7 329.4 80.4 Tm (9)Tj 6.5 0 0 7 391.44 81.6 Tm 0.015 Tc [(\320)-4100(600)]TJ ET 0.392 w 478.56 87.9 m 454.44 87.9 l S BT /F26 1 Tf 6.5 0 0 7 454.44 81.6 Tm 0.013 Tc (INHIBIT)Tj /F10 1 Tf 3.711 0 TD 0.019 Tc 0 Tw ( V)Tj 4.35 0 0 4.7 485.28 80.4 Tm (L)Tj 6.5 0 0 7 487.8 81.6 Tm 0.013 Tc 0.006 Tw [( TO DATA STABLE)]TJ ET 0.96 w BX /GS1 gs EX 323.52 68.4 m 576 68.4 l S BT 6.5 0 0 7 326.76 70.92 Tm BX /GS2 gs EX (t)Tj 4.35 0 0 4.7 329.4 69.72 Tm 0.023 Tc (10)Tj 6.5 0 0 7 391.44 70.92 Tm 0.016 Tc [(40)-3470(110)]TJ ET 0.392 w 480.6 77.22 m 454.44 77.22 l S BT /F26 1 Tf 6.5 0 0 7 454.44 70.92 Tm 0.013 Tc (ENABLE)Tj /F10 1 Tf 4.043 0 TD 0.017 Tc 0 Tw ( V)Tj 4.35 0 0 4.7 487.44 69.72 Tm (L)Tj 6.5 0 0 7 489.84 70.92 Tm 0.013 Tc 0.004 Tw ( TO DATA V)Tj 4.35 0 0 4.7 528 69.72 Tm (H)Tj ET 0.96 w BX /GS1 gs EX 323.52 57.84 m 576 57.84 l S BT 6.5 0 0 7 326.76 60.36 Tm BX /GS2 gs EX (t)Tj 4.35 0 0 4.7 329.4 59.16 Tm 0.023 Tc (11)Tj 6.5 0 0 7 391.44 60.36 Tm 0.016 Tc [(35)-3470(110)]TJ ET 0.392 w 480.6 66.66 m 454.44 66.66 l S BT /F26 1 Tf 6.5 0 0 7 454.44 60.36 Tm 0.013 Tc (ENABLE)Tj /F10 1 Tf 4.043 0 TD 0.016 Tc 0 Tw ( V)Tj 4.35 0 0 4.7 487.44 59.16 Tm (L)Tj 6.5 0 0 7 489.84 60.36 Tm 0.013 Tc 0.003 Tw ( TO DATA V)Tj 4.35 0 0 4.7 528 59.16 Tm (L)Tj ET 0.96 w BX /GS1 gs EX 323.52 47.16 m 576 47.16 l S BT 6.5 0 0 7 326.76 49.68 Tm BX /GS2 gs EX (t)Tj 4.35 0 0 4.7 329.4 48.48 Tm 0.023 Tc (12)Tj 6.5 0 0 7 391.44 49.68 Tm 0.014 Tc 0.005 Tw [(60)-3472(140)-3365(BYTE SELECT V)]TJ 4.35 0 0 4.7 504.84 48.48 Tm (L)Tj 6.5 0 0 7 507.36 49.68 Tm 0.013 Tc 0.006 Tw [( TO DATA STABLE)]TJ ET BX /GS1 gs EX 323.52 36.48 m 576 36.48 l S BT 6.5 0 0 7 326.76 39 Tm BX /GS2 gs EX (t)Tj 4.35 0 0 4.7 329.4 37.8 Tm 0.023 Tc (13)Tj 6.5 0 0 7 391.44 39 Tm 0.014 Tc [(60)-3472(125)-3365(BYTE SELECT V)]TJ 4.35 0 0 4.7 504.84 37.8 Tm (H)Tj 6.5 0 0 7 508.08 39 Tm 0.013 Tc 0.007 Tw [( TO DATA STABLE)]TJ ET BX /GS1 gs EX 575.52 186 m 575.52 36.24 l 378.48 186 m 378.48 36.96 l 415.44 186 m 415.44 36.48 l 447.48 185.52 m 447.48 36.48 l S endstream endobj 28 0 obj <>stream %!FontType1-1.0: DJEKAE+UniversOverbar-Bold 1 13 dict begin /FontName /DJEKAE+UniversOverbar-Bold def /FontType 1 def /FontBBox {-151 -220 1000 998} readonly def /FontMatrix [0.001 0 0 0.001 0 0] readonly def /PaintType 0 def /FontInfo 12 dict dup begin /version (001.000) readonly def /Notice (Copyright (c) 1987 Adobe Systems Incorporated. All rights reserved.Univers is a registered trademark of Linotype Company.) readonly def /FullName (UniversOverbar-Bold) readonly def /FamilyName (UniversOverbar) readonly def /ItalicAngle 0 def /isFixedPitch false def /UnderlinePosition 900 def /UnderlineThickness 56 def /Weight (Bold) def /BaseFontName (UniversOverbar-Bold) def end def /Encoding 256 array 0 1 255 {1 index exch /.notdef put} for dup 0 /NUL put dup 1 /Eth put dup 2 /eth put dup 3 /Lslash put dup 4 /lslash put dup 5 /Scaron put dup 6 /scaron put dup 7 /Yacute put dup 8 /yacute put dup 9 /HT put dup 10 /LF put dup 11 /Thorn put dup 12 /thorn put dup 13 /CR put dup 14 /Zcaron put dup 15 /zcaron put dup 16 /DLE put dup 17 /DC1 put dup 18 /DC2 put dup 19 /DC3 put dup 20 /DC4 put dup 21 /onehalf put dup 22 /onequarter put dup 23 /onesuperior put dup 24 /threequarters put dup 25 /threesuperior put dup 26 /twosuperior put dup 27 /brokenbar put dup 28 /minus put dup 29 /multiply put dup 30 /RS put dup 31 /US put dup 32 /space put dup 33 /exclam put dup 34 /quotedbl put dup 35 /numbersign put dup 36 /dollar put dup 37 /percent put dup 38 /ampersand put dup 39 /quotesingle put dup 40 /parenleft put dup 41 /parenright put dup 42 /asterisk put dup 43 /plus put dup 44 /comma put dup 45 /hyphen put dup 46 /period put dup 47 /slash put dup 48 /zero put dup 49 /one put dup 50 /two put dup 51 /three put dup 52 /four put dup 53 /five put dup 54 /six put dup 55 /seven put dup 56 /eight put dup 57 /nine put dup 58 /colon put dup 59 /semicolon put dup 60 /less put dup 61 /equal put dup 62 /greater put dup 63 /question put dup 64 /at put dup 65 /A put dup 66 /B put dup 67 /C put dup 68 /D put dup 69 /E put dup 70 /F put dup 71 /G put dup 72 /H put dup 73 /I put dup 74 /J put dup 75 /K put dup 76 /L put dup 77 /M put dup 78 /N put dup 79 /O put dup 80 /P put dup 81 /Q put dup 82 /R put dup 83 /S put dup 84 /T put dup 85 /U put dup 86 /V put dup 87 /W put dup 88 /X put dup 89 /Y put dup 90 /Z put dup 91 /bracketleft put dup 92 /backslash put dup 93 /bracketright put dup 94 /asciicircum put dup 95 /underscore put dup 96 /grave put dup 97 /a put dup 98 /b put dup 99 /c put dup 100 /d put dup 101 /e put dup 102 /f put dup 103 /g put dup 104 /h put dup 105 /i put dup 106 /j put dup 107 /k put dup 108 /l put dup 109 /m put dup 110 /n put dup 111 /o put dup 112 /p put dup 113 /q put dup 114 /r put dup 115 /s put dup 116 /t put dup 117 /u put dup 118 /v put dup 119 /w put dup 120 /x put dup 121 /y put dup 122 /z put dup 123 /braceleft put dup 124 /bar put dup 125 /braceright put dup 126 /asciitilde put dup 127 /DEL put dup 128 /Adieresis put dup 129 /Aring put dup 130 /Ccedilla put dup 131 /Eacute put dup 132 /Ntilde put dup 133 /Odieresis put dup 134 /Udieresis put dup 135 /aacute put dup 136 /agrave put dup 137 /acircumflex put dup 138 /adieresis put dup 139 /atilde put dup 140 /aring put dup 141 /ccedilla put dup 142 /eacute put dup 143 /egrave put dup 144 /ecircumflex put dup 145 /edieresis put dup 146 /iacute put dup 147 /igrave put dup 148 /icircumflex put dup 149 /idieresis put dup 150 /ntilde put dup 151 /oacute put dup 152 /ograve put dup 153 /ocircumflex put dup 154 /odieresis put dup 155 /otilde put dup 156 /uacute put dup 157 /ugrave put dup 158 /ucircumflex put dup 159 /udieresis put dup 160 /dagger put dup 161 /degree put dup 162 /cent put dup 163 /sterling put dup 164 /section put dup 165 /bullet put dup 166 /paragraph put dup 167 /germandbls put dup 168 /registered put dup 169 /copyright put dup 170 /trademark put dup 171 /acute put dup 172 /dieresis put dup 173 /notequal put dup 174 /AE put dup 175 /Oslash put dup 176 /infinity put dup 177 /plusminus put dup 178 /lessequal put dup 179 /greaterequal put dup 180 /yen put dup 181 /mu put dup 182 /partialdiff put dup 183 /summation put dup 184 /product put dup 185 /pi put dup 186 /integral put dup 187 /ordfeminine put dup 188 /ordmasculine put dup 189 /Omega put dup 190 /ae put dup 191 /oslash put dup 192 /questiondown put dup 193 /exclamdown put dup 194 /logicalnot put dup 195 /radical put dup 196 /florin put dup 197 /approxequal put dup 198 /Delta put dup 199 /guillemotleft put dup 200 /guillemotright put dup 201 /ellipsis put dup 202 /nbspace put dup 203 /Agrave put dup 204 /Atilde put dup 205 /Otilde put dup 206 /OE put dup 207 /oe put dup 208 /endash put dup 209 /emdash put dup 210 /quotedblleft put dup 211 /quotedblright put dup 212 /quoteleft put dup 213 /quoteright put dup 214 /divide put dup 215 /lozenge put dup 216 /ydieresis put dup 217 /Ydieresis put dup 218 /fraction put dup 219 /currency put dup 220 /guilsinglleft put dup 221 /guilsinglright put dup 222 /fi put dup 223 /fl put dup 224 /daggerdbl put dup 225 /periodcentered put dup 226 /quotesinglbase put dup 227 /quotedblbase put dup 228 /perthousand put dup 229 /Acircumflex put dup 230 /Ecircumflex put dup 231 /Aacute put dup 232 /Edieresis put dup 233 /Egrave put dup 234 /Iacute put dup 235 /Icircumflex put dup 236 /Idieresis put dup 237 /Igrave put dup 238 /Oacute put dup 239 /Ocircumflex put dup 240 /apple put dup 241 /Ograve put dup 242 /Uacute put dup 243 /Ucircumflex put dup 244 /Ugrave put dup 245 /dotlessi put dup 246 /circumflex put dup 247 /tilde put dup 248 /macron put dup 249 /breve put dup 250 /dotaccent put dup 251 /ring put dup 252 /cedilla put dup 253 /hungarumlaut put dup 254 /ogonek put dup 255 /caron put readonly def currentdict end currentfile eexec + g;mvo:/H&,$M^cZg$!WcfHBc/ $.rL`ɒV6]/I:_hk73$OfD[~1.;q$[ÆD;HNH$ul:k Osʉ;?8}T\8'h\/*X+?ec19\V~ʿ}cZ(vQw>z4/OpOݙJi8P0]# M@/0rx(]:nY d0#HͮYY Y}CSʁ\Ǔ3SoE*^u7'ݱNPN[\ybJ9!Ł+lWC0 NrL}t\nF0%xzF6P+5Ƞw7C/Pyfr*N0@Ēp =ֹ+D8 1vCӊ}Kog/&Q驨!bQn\\ B\N5.R恧~#pT3kQ-xR/dVRٽPZH[!F/Iŵ{8꠮ 1uQhj  ^ܗ"YSr 5BKit?9[&:l%RLv}pF̆L&vL3ob;1уHTaLȁft?1- MurNeFnp^WO+ExȔlO+ʮBq*gNN#4Xӗk(4o\R qK kAO| 8ϷDr6CEUzeTe d 0y1l PS~Cg | _|qsŘfpԀĎpwѹpiE]'<ߎ\xaʛ9]>凎4m`ռ__ְAX þ M뻹"ڐnͧX@[ lKUՔDv<9R[wPm&@r+`.*iwylS1JSџ>wejk e驴Kͦ3F2̥T %S, sJţ zL1 %iJPJ )yx?UoW߸I>MhDPgAs0Xkd&݉ Co =WSn e 3L2PϨ"m؅h|C8VU*@2 CbZXz Zw=e|T"2œ;w]Bݍpy ?Nt:{o{׏CҼ*p9;+`ox )-Fr ;?]G;h?>/ endstream endobj 29 0 obj <> endobj 30 0 obj <>stream %!FontType1-1.0: DKCDLJ+PlantinOverbar-Bold 1 13 dict begin /FontName /DKCDLJ+PlantinOverbar-Bold def /FontType 1 def /FontBBox {-84 -225 1153 934} readonly def /FontMatrix [0.001 0 0 0.001 0 0] readonly def /PaintType 0 def /FontInfo 12 dict dup begin /version (001.000) readonly def /Notice (Copyright (c) 1990 Adobe Systems Incorporated. All Rights Reserved.Plantin is a trademark of The Monotype Corporation Plc.) readonly def /FullName (PlantinOverbar-Bold) readonly def /FamilyName (PlantinOverbar) readonly def /ItalicAngle 0 def /isFixedPitch false def /UnderlinePosition 850 def /UnderlineThickness 50 def /Weight (Bold) def /BaseFontName (PlantinOverbar-Bold) def end def /Encoding 256 array 0 1 255 {1 index exch /.notdef put} for dup 0 /NUL put dup 1 /Eth put dup 2 /eth put dup 3 /Lslash put dup 4 /lslash put dup 5 /Scaron put dup 6 /scaron put dup 7 /Yacute put dup 8 /yacute put dup 9 /HT put dup 10 /LF put dup 11 /Thorn put dup 12 /thorn put dup 13 /CR put dup 14 /Zcaron put dup 15 /zcaron put dup 16 /DLE put dup 17 /DC1 put dup 18 /DC2 put dup 19 /DC3 put dup 20 /DC4 put dup 21 /onehalf put dup 22 /onequarter put dup 23 /onesuperior put dup 24 /threequarters put dup 25 /threesuperior put dup 26 /twosuperior put dup 27 /brokenbar put dup 28 /minus put dup 29 /multiply put dup 30 /RS put dup 31 /US put dup 32 /space put dup 33 /exclam put dup 34 /quotedbl put dup 35 /numbersign put dup 36 /dollar put dup 37 /percent put dup 38 /ampersand put dup 39 /quotesingle put dup 40 /parenleft put dup 41 /parenright put dup 42 /asterisk put dup 43 /plus put dup 44 /comma put dup 45 /hyphen put dup 46 /period put dup 47 /slash put dup 48 /zero put dup 49 /one put dup 50 /two put dup 51 /three put dup 52 /four put dup 53 /five put dup 54 /six put dup 55 /seven put dup 56 /eight put dup 57 /nine put dup 58 /colon put dup 59 /semicolon put dup 60 /less put dup 61 /equal put dup 62 /greater put dup 63 /question put dup 64 /at put dup 65 /A put dup 66 /B put dup 67 /C put dup 68 /D put dup 69 /E put dup 70 /F put dup 71 /G put dup 72 /H put dup 73 /I put dup 74 /J put dup 75 /K put dup 76 /L put dup 77 /M put dup 78 /N put dup 79 /O put dup 80 /P put dup 81 /Q put dup 82 /R put dup 83 /S put dup 84 /T put dup 85 /U put dup 86 /V put dup 87 /W put dup 88 /X put dup 89 /Y put dup 90 /Z put dup 91 /bracketleft put dup 92 /backslash put dup 93 /bracketright put dup 94 /asciicircum put dup 95 /underscore put dup 96 /grave put dup 97 /a put dup 98 /b put dup 99 /c put dup 100 /d put dup 101 /e put dup 102 /f put dup 103 /g put dup 104 /h put dup 105 /i put dup 106 /j put dup 107 /k put dup 108 /l put dup 109 /m put dup 110 /n put dup 111 /o put dup 112 /p put dup 113 /q put dup 114 /r put dup 115 /s put dup 116 /t put dup 117 /u put dup 118 /v put dup 119 /w put dup 120 /x put dup 121 /y put dup 122 /z put dup 123 /braceleft put dup 124 /bar put dup 125 /braceright put dup 126 /asciitilde put dup 127 /DEL put dup 128 /Adieresis put dup 129 /Aring put dup 130 /Ccedilla put dup 131 /Eacute put dup 132 /Ntilde put dup 133 /Odieresis put dup 134 /Udieresis put dup 135 /aacute put dup 136 /agrave put dup 137 /acircumflex put dup 138 /adieresis put dup 139 /atilde put dup 140 /aring put dup 141 /ccedilla put dup 142 /eacute put dup 143 /egrave put dup 144 /ecircumflex put dup 145 /edieresis put dup 146 /iacute put dup 147 /igrave put dup 148 /icircumflex put dup 149 /idieresis put dup 150 /ntilde put dup 151 /oacute put dup 152 /ograve put dup 153 /ocircumflex put dup 154 /odieresis put dup 155 /otilde put dup 156 /uacute put dup 157 /ugrave put dup 158 /ucircumflex put dup 159 /udieresis put dup 160 /dagger put dup 161 /degree put dup 162 /cent put dup 163 /sterling put dup 164 /section put dup 165 /bullet put dup 166 /paragraph put dup 167 /germandbls put dup 168 /registered put dup 169 /copyright put dup 170 /trademark put dup 171 /acute put dup 172 /dieresis put dup 173 /notequal put dup 174 /AE put dup 175 /Oslash put dup 176 /infinity put dup 177 /plusminus put dup 178 /lessequal put dup 179 /greaterequal put dup 180 /yen put dup 181 /mu put dup 182 /partialdiff put dup 183 /summation put dup 184 /product put dup 185 /pi put dup 186 /integral put dup 187 /ordfeminine put dup 188 /ordmasculine put dup 189 /Omega put dup 190 /ae put dup 191 /oslash put dup 192 /questiondown put dup 193 /exclamdown put dup 194 /logicalnot put dup 195 /radical put dup 196 /florin put dup 197 /approxequal put dup 198 /Delta put dup 199 /guillemotleft put dup 200 /guillemotright put dup 201 /ellipsis put dup 202 /nbspace put dup 203 /Agrave put dup 204 /Atilde put dup 205 /Otilde put dup 206 /OE put dup 207 /oe put dup 208 /endash put dup 209 /emdash put dup 210 /quotedblleft put dup 211 /quotedblright put dup 212 /quoteleft put dup 213 /quoteright put dup 214 /divide put dup 215 /lozenge put dup 216 /ydieresis put dup 217 /Ydieresis put dup 218 /fraction put dup 219 /currency put dup 220 /guilsinglleft put dup 221 /guilsinglright put dup 222 /fi put dup 223 /fl put dup 224 /daggerdbl put dup 225 /periodcentered put dup 226 /quotesinglbase put dup 227 /quotedblbase put dup 228 /perthousand put dup 229 /Acircumflex put dup 230 /Ecircumflex put dup 231 /Aacute put dup 232 /Edieresis put dup 233 /Egrave put dup 234 /Iacute put dup 235 /Icircumflex put dup 236 /Idieresis put dup 237 /Igrave put dup 238 /Oacute put dup 239 /Ocircumflex put dup 240 /apple put dup 241 /Ograve put dup 242 /Uacute put dup 243 /Ucircumflex put dup 244 /Ugrave put dup 245 /dotlessi put dup 246 /circumflex put dup 247 /tilde put dup 248 /macron put dup 249 /breve put dup 250 /dotaccent put dup 251 /ring put dup 252 /cedilla put dup 253 /hungarumlaut put dup 254 /ogonek put dup 255 /caron put readonly def currentdict end currentfile eexec qF^zQC`1e1A͹Uho`(n_p>ОORM]FYm|U )كwrDၞ$h6;(VڐVc8Mem][-ěx ӈ'Smd`Hbvhtdb("^CӜ,M/hGJ*+sCMӔwRA PXRwut慻ʉH>,-^k뛄N48j>|A.NN%̸{oSY鵀%e]I1ysp,i _sgf_i߻ߡ:IVW/O A B;K}`K&RĂ rչmlA`lp#I:Mbʢh̟C#ъ0&ҤR(ݍE2"Wu

h7$i@jWk7-R G),!'"cYP1V?+8+:R/oyU&S~4>~W<7OGW%GTxuB`%6&M{ >H P`dx4 G(MǯUP_Bbɉ']um3*vqWp߅,3my!U6s=OC?[$^hbįJt Ek5C<"@ٛU%̤D3Kqm#SDcĺ ™Ι?*ݦ7_54/`ZinKYK*MMRܓd1ZEHCgcAq$84Q]^bR,@[@m8iMc\PuM/J2q@LOg_unʏ_.I6E gX(;K(͞-6;nM&Z:¼t/*@q=9x#z9E!_OczTl7Zl'2IaI/zO&W3B-u$lN}?E~|%{yR@W03VBk$.9L^{` _^UZsZ2s3)S=59S7*k`Qb+f\.Ģ2y]K9@p;v:!U!eEQ_P\%gu U?r\ m>ۥ3u0R~bnpWt$վ\tA=cTȧs۔Eh>BPm->df-y.bXe~Jl8ͩbbI~+ ӣA=|D;P^Vga*vZtWJ0C'iÊ NuL'Ir-tz^+|_6sOWAaI9kVg endstream endobj 31 0 obj <> endobj 32 0 obj <>stream %!FontType1-1.0: DKELJB+HelveticaOverbar-Bold 1 13 dict begin /FontName /DKELJB+HelveticaOverbar-Bold def /FontType 1 def /FontBBox {-170 -228 1003 962} readonly def /FontMatrix [0.001 0 0 0.001 0 0] readonly def /PaintType 0 def /FontInfo 12 dict dup begin /version (001.000) readonly def /Notice (Copyright (c) 1985, 1987, 1989, 1990 Adobe Systems Incorporated. All Rights Reserved.Helvetica is a trademark of Linotype AG and/or its subsidiaries.) readonly def /FullName (HelveticaOverbar-Bold) readonly def /FamilyName (HelveticaOverbar) readonly def /ItalicAngle 0 def /isFixedPitch false def /UnderlinePosition 900 def /UnderlineThickness 52 def /Weight (Bold) def /BaseFontName (HelveticaOverbar-Bold) def end def /Encoding 256 array 0 1 255 {1 index exch /.notdef put} for dup 0 /NUL put dup 1 /Eth put dup 2 /eth put dup 3 /Lslash put dup 4 /lslash put dup 5 /Scaron put dup 6 /scaron put dup 7 /Yacute put dup 8 /yacute put dup 9 /HT put dup 10 /LF put dup 11 /Thorn put dup 12 /thorn put dup 13 /CR put dup 14 /Zcaron put dup 15 /zcaron put dup 16 /DLE put dup 17 /DC1 put dup 18 /DC2 put dup 19 /DC3 put dup 20 /DC4 put dup 21 /onehalf put dup 22 /onequarter put dup 23 /onesuperior put dup 24 /threequarters put dup 25 /threesuperior put dup 26 /twosuperior put dup 27 /brokenbar put dup 28 /minus put dup 29 /multiply put dup 30 /RS put dup 31 /US put dup 32 /space put dup 33 /exclam put dup 34 /quotedbl put dup 35 /numbersign put dup 36 /dollar put dup 37 /percent put dup 38 /ampersand put dup 39 /quotesingle put dup 40 /parenleft put dup 41 /parenright put dup 42 /asterisk put dup 43 /plus put dup 44 /comma put dup 45 /hyphen put dup 46 /period put dup 47 /slash put dup 48 /zero put dup 49 /one put dup 50 /two put dup 51 /three put dup 52 /four put dup 53 /five put dup 54 /six put dup 55 /seven put dup 56 /eight put dup 57 /nine put dup 58 /colon put dup 59 /semicolon put dup 60 /less put dup 61 /equal put dup 62 /greater put dup 63 /question put dup 64 /at put dup 65 /A put dup 66 /B put dup 67 /C put dup 68 /D put dup 69 /E put dup 70 /F put dup 71 /G put dup 72 /H put dup 73 /I put dup 74 /J put dup 75 /K put dup 76 /L put dup 77 /M put dup 78 /N put dup 79 /O put dup 80 /P put dup 81 /Q put dup 82 /R put dup 83 /S put dup 84 /T put dup 85 /U put dup 86 /V put dup 87 /W put dup 88 /X put dup 89 /Y put dup 90 /Z put dup 91 /bracketleft put dup 92 /backslash put dup 93 /bracketright put dup 94 /asciicircum put dup 95 /underscore put dup 96 /grave put dup 97 /a put dup 98 /b put dup 99 /c put dup 100 /d put dup 101 /e put dup 102 /f put dup 103 /g put dup 104 /h put dup 105 /i put dup 106 /j put dup 107 /k put dup 108 /l put dup 109 /m put dup 110 /n put dup 111 /o put dup 112 /p put dup 113 /q put dup 114 /r put dup 115 /s put dup 116 /t put dup 117 /u put dup 118 /v put dup 119 /w put dup 120 /x put dup 121 /y put dup 122 /z put dup 123 /braceleft put dup 124 /bar put dup 125 /braceright put dup 126 /asciitilde put dup 127 /DEL put dup 128 /Adieresis put dup 129 /Aring put dup 130 /Ccedilla put dup 131 /Eacute put dup 132 /Ntilde put dup 133 /Odieresis put dup 134 /Udieresis put dup 135 /aacute put dup 136 /agrave put dup 137 /acircumflex put dup 138 /adieresis put dup 139 /atilde put dup 140 /aring put dup 141 /ccedilla put dup 142 /eacute put dup 143 /egrave put dup 144 /ecircumflex put dup 145 /edieresis put dup 146 /iacute put dup 147 /igrave put dup 148 /icircumflex put dup 149 /idieresis put dup 150 /ntilde put dup 151 /oacute put dup 152 /ograve put dup 153 /ocircumflex put dup 154 /odieresis put dup 155 /otilde put dup 156 /uacute put dup 157 /ugrave put dup 158 /ucircumflex put dup 159 /udieresis put dup 160 /dagger put dup 161 /degree put dup 162 /cent put dup 163 /sterling put dup 164 /section put dup 165 /bullet put dup 166 /paragraph put dup 167 /germandbls put dup 168 /registered put dup 169 /copyright put dup 170 /trademark put dup 171 /acute put dup 172 /dieresis put dup 173 /notequal put dup 174 /AE put dup 175 /Oslash put dup 176 /infinity put dup 177 /plusminus put dup 178 /lessequal put dup 179 /greaterequal put dup 180 /yen put dup 181 /mu put dup 182 /partialdiff put dup 183 /summation put dup 184 /product put dup 185 /pi put dup 186 /integral put dup 187 /ordfeminine put dup 188 /ordmasculine put dup 189 /Omega put dup 190 /ae put dup 191 /oslash put dup 192 /questiondown put dup 193 /exclamdown put dup 194 /logicalnot put dup 195 /radical put dup 196 /florin put dup 197 /approxequal put dup 198 /Delta put dup 199 /guillemotleft put dup 200 /guillemotright put dup 201 /ellipsis put dup 202 /nbspace put dup 203 /Agrave put dup 204 /Atilde put dup 205 /Otilde put dup 206 /OE put dup 207 /oe put dup 208 /endash put dup 209 /emdash put dup 210 /quotedblleft put dup 211 /quotedblright put dup 212 /quoteleft put dup 213 /quoteright put dup 214 /divide put dup 215 /lozenge put dup 216 /ydieresis put dup 217 /Ydieresis put dup 218 /fraction put dup 219 /currency put dup 220 /guilsinglleft put dup 221 /guilsinglright put dup 222 /fi put dup 223 /fl put dup 224 /daggerdbl put dup 225 /periodcentered put dup 226 /quotesinglbase put dup 227 /quotedblbase put dup 228 /perthousand put dup 229 /Acircumflex put dup 230 /Ecircumflex put dup 231 /Aacute put dup 232 /Edieresis put dup 233 /Egrave put dup 234 /Iacute put dup 235 /Icircumflex put dup 236 /Idieresis put dup 237 /Igrave put dup 238 /Oacute put dup 239 /Ocircumflex put dup 240 /apple put dup 241 /Ograve put dup 242 /Uacute put dup 243 /Ucircumflex put dup 244 /Ugrave put dup 245 /dotlessi put dup 246 /circumflex put dup 247 /tilde put dup 248 /macron put dup 249 /breve put dup 250 /dotaccent put dup 251 /ring put dup 252 /cedilla put dup 253 /hungarumlaut put dup 254 /ogonek put dup 255 /caron put readonly def currentdict end currentfile eexec V+~EP.]68xOt8^A.Sё};FVolW^ 4X H$fMŖ-)eK¶R]G= 6 E"E.1Z|cЗ\ cp)¹mDd~3ei0Ր:&5۷X{:)NI]ݣ]´) HpCHpZv޵uGLZ៓|lLgsap>(v" kwل4$;!g mP9KЄ["dj":kTχOe5/wE]c+mfW3xxQyBsn(tMC!rΟ_ChdI\Q6cn20{Vq5{̌v3K.v+N|#CaW&쫒QpU{#*`L@s-4;֐-ϐ(-䂔@De½T+=A'y+Vr]q oew-C%G ;vsd)WxUA_ڄ#AM:krqO>quH,iGb]. 7&<<S,>ϡ6Fy=&g-ؿ!;GqF͵20MRJ0izJ*p DG/EjC>Ңjcc;ώ~(,_/_2~r>mz{lKLw,˕&mo_qANGO(!@aI3Smԗzx^٨/20rlo `"=ZfrצsPb\Ԃ8aIGe)\U[_>Ee`u>ƝbAr;|pJwj~/Hn I+cr#c0C$aRç)yxr!!w(D Dƌe!;'K)r$Mb*.c.1Ou>P% k#2s36᪞(n-Y;qٲߊӢTe7,Kӎ/U-|jh:}>|&g&ݫK@k iEVdr5| 'n~TТ{d'b?K (Q*H/Ep<`u+@:mT|8Z3D[Hgi*R)zu#X )Iedu3MďMEi흺gw[kqֶ`nR>AC%W%07b̨3-<g eTQ/Wr,7o9Z G,)R` #Y_Hä ,"RM:z{~x2+; %P nr> endobj 34 0 obj <> endobj 35 0 obj <>/Font<>/ProcSet[/PDF/Text]>> endobj 36 0 obj <>stream BT /F8 1 Tf 18 0 0 18 36 735.24 Tm 0 0 0 1 k BX /GS2 gs EX -0.01 Tc (AD2S80A)Tj /F5 1 Tf 9.5 0 0 9.5 526.08 18.48 Tm 0.026 Tc 0 Tw (REV. A)Tj -25.213 -0.013 TD 0.05 Tc (\32010\320)Tj /F12 1 Tf 8.35 0 0 9 36.48 711.6 Tm 0.008 Tc 0.01 Tw (CIRCUIT FUNCTIONS AND DYNAMIC PERFORMANCE)Tj /F14 1 Tf 8.85 0 0 9.5 36.48 700.68 Tm 0.006 Tc 0.014 Tw (The AD2S80A allows the user greater flexibility in choosing the)Tj 0 -1.162 TD 0.021 Tw (dynamic characteristics of the resolver-to-digital conversion to)Tj T* 0.019 Tw (ensure the optimum system performance. The characteristics)Tj 0 -1.149 TD 0.011 Tw (are set by the external components shown in Figure 1, and the)Tj 0 -1.162 TD 0.017 Tw (section \322COMPONENT SELECTION\323 explains how to select)Tj T* 0.015 Tw (desired maximum tracking rate and bandwidth values. The fol-)Tj 0 -1.149 TD 0.013 Tw (lowing paragraphs explain in greater detail the circuit of the)Tj 0 -1.162 TD 0.015 Tw (AD2S80A and the variations in the dynamic performance avail-)Tj T* 0.011 Tw (able to the user.)Tj /F12 1 Tf 8.35 0 0 9 36.48 597.6 Tm 0.008 Tc 0.013 Tw (Loop Compensation)Tj /F14 1 Tf 8.85 0 0 9.5 36.48 586.68 Tm 0.006 Tc 0.011 Tw (The AD2S80A \(connected as shown in Figure 1\) operates as a)Tj T* 0.015 Tw (Type 2 tracking servo loop where the VCO/counter combination)Tj T* (and Integrator perform the two integration functions inherent in)Tj 0 -1.149 TD 0.009 Tw (a Type 2 loop.)Tj 30.4 16.421 TD 0.012 Tw (Additional compensation in the form of a pole/zero pair is re-)Tj 0 -1.162 TD 0.01 Tw (quired to stabilize any Type 2 loop to avoid the loop gain char-)Tj T* 0.013 Tw (acteristic crossing the 0 dB axis with 180)Tj /F21 1 Tf 18.007 0 TD (\260)Tj /F14 1 Tf 0.407 0 TD [( of additional phase)]TJ -18.414 -1.149 TD 0.01 Tw (lag, as shown in Figure 5.)Tj 0 -1.579 TD 0.016 Tw (This compensation is implemented by the integrator compo-)Tj 0 -1.162 TD 0.011 Tw (nents \(R4, C4, R5, C5\).)Tj 0 -1.579 TD 0.01 Tw (The overall response of such a system is that of a unity gain sec-)Tj 0 -1.162 TD (ond order low pass filter, with the angle of the resolver as the in-)Tj 0 -1.149 TD 0.011 Tw (put and the digital position data as the output.)Tj 0 -1.579 TD 0.012 Tw (The AD2S80A does not have to be connected as tracking con-)Tj 0 -1.162 TD 0.013 Tw (verter, parts of the circuit can be used independently. This is)Tj T* 0.011 Tw (particularly true of the Ratio Multiplier which can be used as a)Tj 0 -1.149 TD 0.021 Tw (control transformer \(see Application Note\).)Tj 0 -1.579 TD 0.01 Tw (A block diagram of the AD2S80A is given in Figure 3.)Tj /F12 1 Tf 8.35 0 0 9 38.04 371.64 Tm 0.008 Tc 0.017 Tw (Ratio Multiplier)Tj /F14 1 Tf 8.85 0 0 9.5 38.04 360.6 Tm 0.006 Tc 0.012 Tw (The ratio multiplier is the input section of the AD2S80A and)Tj 0 -1.149 TD (compares the signal from the resolver input angle, )Tj /F21 1 Tf 22.319 0 TD (q)Tj /F14 1 Tf 0.515 0 TD (, to the)Tj -22.834 -1.162 TD 0.014 Tw (digital angle, )Tj /F21 1 Tf 5.939 0 TD (f)Tj /F14 1 Tf 0.529 0 TD (, held in the counter. Any difference between)Tj -6.468 -1.162 TD 0.011 Tw (these two angles results in an analog voltage at the AC ERROR)Tj 0 -1.149 TD (OUTP)Tj 3.051 0 TD 0.026 Tc 0.016 Tw (UT. This circuit function has historically been called)Tj -3.051 -1.162 TD 0.006 Tc 0.013 Tw [(a)-17( \322Control Transformer\323 as it was originally performed by an)]TJ T* 0.017 Tw (electromechanical device known by that name.)Tj 0 -1.579 TD 0.01 Tw (The AC ERROR signal is given by)Tj 10.02 -1.364 TD ( )Tj /F16 1 Tf 0.298 0 TD (A)Tj /F14 1 Tf 0.732 0 TD (1)Tj /F16 1 Tf 0.556 0 TD 0.007 Tw [( sin \()]TJ /F21 1 Tf 2.183 0 TD (q)Tj /F16 1 Tf 0.529 0 TD (\320)Tj /F21 1 Tf 0.502 0 TD (f)Tj /F16 1 Tf 0.529 0 TD (\) sin )Tj /F21 1 Tf 8.85 0 1.859 9.5 193.08 266.64 Tm (w)Tj /F16 1 Tf 8.85 0 0 9.5 199.2 266.64 Tm (t)Tj /F14 1 Tf -18.21 -1.579 TD (where )Tj /F21 1 Tf 2.915 0 TD (w )Tj /F14 1 Tf 0.949 0 TD 0.008 Tw (= 2 )Tj /F21 1 Tf 1.817 0 TD (p)Tj /F14 1 Tf 0.556 0 TD 0.014 Tc 0 Tw ( f)Tj 5.95 0 0 6.4 98.52 250.08 Tm 0.006 Tc (REF)Tj /F16 1 Tf 8.85 0 0 9.5 38.04 236.64 Tm 0.015 Tc ( )Tj /F14 1 Tf 0.868 0 TD (f)Tj 5.95 0 0 6.4 48.6 235.08 Tm 0.006 Tc (REF)Tj 8.85 0 0 9.5 60.96 236.64 Tm 0.009 Tw [( = reference frequency)]TJ -2.59 -1.579 TD 0.011 Tw (A1, the gain of the ratio multiplier stage is 14.5.)Tj T* 0.01 Tw (So for 2 V rms inputs signals)Tj 0 -1.162 TD 0.013 Tw (AC ERROR output in volts/\(bit of error\))Tj /F27 1 Tf 9.004 0 0 9.012 119.76 156.12 Tm 0 g BX /GS1 gs EX 0 Tc 0 Tw ( )Tj /F28 1 Tf 0 0 TD ( )Tj /F21 1 Tf 9.504 0 0 9.513 121.667 168.137 Tm (=)Tj /F13 1 Tf 0.799 0 TD (2)Tj /F21 1 Tf 0.773 0 TD (\264)Tj /F13 1 Tf 0.796 0 TD (sin)Tj 2.112 0.701 TD (360)Tj /F15 1 Tf 0.553 -1.191 TD (n)Tj ET 0 G 0 J 0 j 0.5 w 10 M []0 d 1 i 163.248 170.609 m 180.756 170.609 l S BT /F21 1 Tf 9.504 0 0 9.513 158.121 174.958 Tm (\346)Tj 0 -1.546 TD (\350)Tj 0 0.569 TD (\347)Tj 2.434 0.977 TD (\366)Tj 0 -1.546 TD (\370)Tj 0 0.569 TD (\367)Tj 0.747 0.26 TD (\264)Tj /F15 1 Tf 0.849 0 TD (A)Tj /F13 1 Tf 0.684 0 TD (1)Tj /F14 1 Tf 8.85 0 0 9.5 38.04 141.84 Tm 0 0 0 1 k BX /GS2 gs EX 0.006 Tc 0.008 Tw [(where )-6(n)-436(=)0( bits per rev)]TJ 3.946 -1.162 TD 0.011 Tw (= 1,024 for 10 bits resolution)Tj 0 -1.149 TD 0.009 Tw (= 4,096 for 12 bits)Tj 0 -1.162 TD 0.01 Tw (= 16,384 for 14 bits)Tj T* (= 65,536 for 16 bits)Tj -3.946 -1.579 TD 0.012 Tw (giving an AC ERROR output)Tj 3.946 -1.149 TD 0.011 Tw (= 178 mV/bit @ 10 bits resolution)Tj 0 -1.162 TD 0.009 Tw (= 44.5 mV/bit @ 12 bits)Tj T* 0.01 Tw (= 11.125 mV/bit @ 14 bits)Tj 0 -1.149 TD 0.009 Tw (= 2.78 mV/bit @ 16 bits)Tj 26.278 34.926 TD 0.012 Tw (The ratio multiplier will work in exactly the same way whether)Tj 0 -1.162 TD 0.011 Tw (the AD2S80A is connected as a tracking converter or as a con-)Tj T* 0.013 Tw (trol transformer, where data is preset into the counters using the)Tj 0 -1.149 TD 0.012 Tw (DATA LOAD pin.)Tj /F12 1 Tf 8.35 0 0 9 305.52 322.68 Tm 0.008 Tc 0.005 Tw (HF Filter)Tj /F14 1 Tf 8.85 0 0 9.5 305.52 311.64 Tm 0.006 Tc 0.009 Tw (The AC ERROR OUTPUT may be fed to the PSD via a simple)Tj 0 -1.162 TD 0.011 Tw (ac coupling network \(R2, C1\) to remove any dc offset at this)Tj 0 -1.149 TD 0.01 Tw (point. Note, however, that the PSD of the AD2S80A is a)Tj 0 -1.162 TD 0.014 Tw (wideband demodulator and is capable of aliasing HF noise)Tj T* 0.011 Tw (down to within the loop bandwidth. This is most likely to hap-)Tj 0 -1.149 TD 0.014 Tw (pen where the resolver is situated in particularly noisy environ-)Tj 0 -1.162 TD 0.01 Tw (ments, and the user is advised to fit a simple HF filter R1, C2)Tj T* 0.015 Tw (prior to the phase sensitive demodulator.)Tj 0 -1.579 TD 0.013 Tw (The attenuation and frequency response of a filter will affect the)Tj 0 -1.149 TD 0.011 Tw (loop gain and must be taken into account in deriving the loop)Tj 0 -1.162 TD 0.013 Tw (transfer function. The suggested filter \(R1, C1, R2, C2\) is)Tj T* 0.012 Tw (shown in Figure 1 and gives an attenuation at the reference)Tj 0 -1.149 TD 0.011 Tw (frequency \(f)Tj 5.95 0 0 6.4 352.68 174 Tm (REF)Tj 8.85 0 0 9.5 364.92 175.68 Tm (\) of 3 times at the input to the phase sensitive)Tj -6.712 -1.162 TD 0.023 Tw (demodulator .)Tj 0 -1.579 TD 0.011 Tw (Values of components used in the filter must be chosen to en-)Tj 0 -1.162 TD (sure that the phase shift at f)Tj 5.95 0 0 6.4 413.76 137.04 Tm (REF)Tj 8.85 0 0 9.5 426 138.6 Tm [( is within the allowable signal to)]TJ -13.614 -1.149 TD 0.015 Tw (reference phase shift of the converter.)Tj /F12 1 Tf 8.35 0 0 9 305.52 112.68 Tm 0.008 Tc (Phase Sensitive Demodulator)Tj /F14 1 Tf 8.85 0 0 9.5 305.52 101.64 Tm 0.006 Tc (The phase sensitive demodulator is effectively ideal and devel-)Tj 0 -1.162 TD 0.011 Tw (ops a mean dc output at the DEMODULATOR OUTPUT)Tj 0 -1.149 TD 0.01 Tw (pin of)Tj /F27 1 Tf 9.002 0 0 9 327.24 41.04 Tm 0 g BX /GS1 gs EX 0 Tc 0 Tw ( )Tj /F28 1 Tf 0 0 TD ( )Tj /F21 1 Tf 9.502 0 0 9.5 330.147 58.696 Tm (\261)Tj /F13 1 Tf 0.546 0 TD 0.889 Tc (22)Tj ET q 342.837 57.696 m 342.837 62.202 l 346.4 64.235 l 346.4 69.602 l 354.526 69.602 l 354.526 68.852 l 348.538 68.852 l 346.4 57.696 l W n 0.5 w q 1.0001 0 0 0.9999 0 0 cm 342.804 62.208 m 344.229 63.015 l 346.367 57.702 l 348.504 68.859 l 354.493 68.859 l S Q Q BT /F21 1 Tf 9.502 0 0 9.5 339.805 47.384 Tm (p)Tj ET 0.5 w 329.24 54.509 m 355.527 54.509 l S BT 9.502 0 0 9.5 357.871 52.04 Tm (\264)Tj /F13 1 Tf 0.691 0 TD (\()Tj /F15 1 Tf 0.421 0 TD 0 Tc [(DEMODULATOR)-636(INPUT)-420(rms)-240(voltage)]TJ /F13 1 Tf 17.296 0 TD (\))Tj /F5 1 Tf 9 0 1.913 9 233.52 397.68 Tm 0 0 0 1 k BX /GS2 gs EX 0.008 Tc 0.005 Tw [(Figure 3.)-504(Functional Diagram)]TJ ET 0 0 0 1 K 0.48 w BX /GS1 gs EX 142.56 387.24 m 443.52 387.24 l S 1 g 0 G 0.72 w 4 M 396.482 489.415 m 396.482 468.206 l 415.221 478.859 l 396.482 489.415 l b* 2 J 424.165 478.978 m 414.927 478.978 l 251.532 478.978 m 396.092 478.978 l S 0 J 206.098 451.743 m 206.098 459.879 l 203.819 459.879 l 210.276 466.157 l 216.775 459.838 l 214.37 459.838 l 214.37 451.825 l B* 206.098 457.539 m 206.098 449.402 l 203.819 449.402 l 210.276 443.125 l 216.775 449.443 l 214.37 449.444 l 214.37 457.456 l B* 2 J 387.095 478.978 m 387.095 520.604 l 387.095 520.844 m 424.284 520.844 l 424.284 520.844 m 424.284 437.473 l 387.215 499.851 m 424.165 499.851 l S 0 J 310.556 491.454 52.306 -25.071 re B* 310.556 458.825 52.306 -25.071 re B* BT /F19 1 Tf 5.758 0 0 5.758 326.751 483.297 Tm 0 g 0 Tc (PHASE)Tj -0.875 -1.083 TD (SENSITIVE)Tj -1.333 -1.083 TD (DEMODULATOR)Tj ET 1 g 1 G 369.222 477.385 m 370.348 480.05 l 379.245 480.05 l 378.233 477.384 l 369.222 477.385 l b* 0 G 368.92 478.851 m 369.773 480.783 l 371.563 476.699 l 373.407 480.808 l 375.224 476.699 l 376.986 480.783 l 378.803 476.699 l 379.657 478.778 l S 0 g 388.774 499.671 m 388.774 498.849 388.097 498.172 387.275 498.172 c 386.452 498.172 385.775 498.849 385.775 499.671 c 385.775 500.494 386.452 501.171 387.275 501.171 c 388.097 501.171 388.774 500.494 388.774 499.671 c b* 425.964 445.93 m 425.964 445.107 425.287 444.43 424.464 444.43 c 423.642 444.43 422.965 445.107 422.965 445.93 c 422.965 446.752 423.642 447.429 424.464 447.429 c 425.287 447.429 425.964 446.752 425.964 445.93 c b* 289.921 478.798 m 289.921 477.976 289.244 477.299 288.422 477.299 c 287.599 477.299 286.922 477.976 286.922 478.798 c 286.922 479.621 287.599 480.298 288.422 480.298 c 289.244 480.298 289.921 479.621 289.921 478.798 c b* 425.964 499.671 m 425.964 498.849 425.287 498.172 424.464 498.172 c 423.642 498.172 422.965 498.849 422.965 499.671 c 422.965 500.494 423.642 501.171 424.464 501.171 c 425.287 501.171 425.964 500.494 425.964 499.671 c b* 1 g 1 G 404.027 501.864 2.472 -3.993 re B* 0 G 2 J 403.77 503.42 m 403.77 496.102 l 406.649 503.42 m 406.649 496.102 l S 1 G 0 J 413.145 522.737 2.472 -3.993 re B* 0 G 2 J 412.888 524.293 m 412.888 516.975 l 415.767 524.293 m 415.767 516.975 l S 1 G 0 J 396.334 519.25 m 397.46 521.915 l 406.357 521.915 l 405.346 519.249 l 396.334 519.25 l b* 0 G 396.032 520.717 m 396.886 522.649 l 398.675 518.565 l 400.52 522.673 l 402.337 518.565 l 404.099 522.649 l 405.916 518.565 l 406.769 520.643 l S BT 5.758 0 0 5.758 255.011 468.422 Tm 0 g (A)Tj 4.799 0 0 4.798 259.09 466.983 Tm (1)Tj 5.758 0 0 5.758 261.729 468.422 Tm 0 Tw ( sin \()Tj /F21 1 Tf 2.333 0 TD (q)Tj /F19 1 Tf 0.521 0 TD ( \320 )Tj /F21 1 Tf 6.718 0 0 6.718 284.564 468.422 Tm (f)Tj /F19 1 Tf 5.758 0 0 5.758 288.061 468.422 Tm (\) sin )Tj /F21 1 Tf 2.333 0 TD (w)Tj /F19 1 Tf 0.686 0 TD (t)Tj ET 276.725 478.858 m 268.608 475.904 l 268.608 481.812 l 276.725 478.858 l f* 2 J 264.008 478.858 m 268.608 478.858 l 288.242 479.218 m 288.242 505.369 l S 1 g 0 J 290.161 505.729 m 290.161 504.742 289.349 503.93 288.362 503.93 c 287.375 503.93 286.562 504.742 286.562 505.729 c 286.562 506.716 287.375 507.528 288.362 507.528 c 289.349 507.528 290.161 506.716 290.161 505.729 c b* BT 5.758 0 0 5.758 272.766 510.167 Tm 0 g (AC ERROR)Tj ET 2 J 198.506 485.336 m 189.629 485.336 l 198.506 472.261 m 189.629 472.261 l S 1 g 0 J 426.204 436.393 m 426.204 435.406 425.392 434.594 424.404 434.594 c 423.417 434.594 422.605 435.406 422.605 436.393 c 422.605 437.38 423.417 438.193 424.404 438.193 c 425.392 438.193 426.204 437.38 426.204 436.393 c b* 190.588 472.141 m 190.588 471.154 189.776 470.341 188.789 470.341 c 187.802 470.341 186.989 471.154 186.989 472.141 c 186.989 473.128 187.802 473.94 188.789 473.94 c 189.776 473.94 190.588 473.128 190.588 472.141 c b* 190.588 485.216 m 190.588 484.229 189.776 483.417 188.789 483.417 c 187.802 483.417 186.989 484.229 186.989 485.216 c 186.989 486.203 187.802 487.016 188.789 487.016 c 189.776 487.016 190.588 486.203 190.588 485.216 c b* BT 5.758 0 0 5.758 154.718 483.537 Tm 0 g (sin )Tj /F21 1 Tf 1.722 0 TD (q )Tj /F19 1 Tf 0.771 0 TD (sin )Tj /F21 1 Tf 1.722 0 TD (w)Tj /F19 1 Tf 0.686 0 TD (t)Tj -5.193 -2.25 TD (cos )Tj /F21 1 Tf 2 0 TD (q )Tj /F19 1 Tf 0.771 0 TD (sin )Tj /F21 1 Tf 1.722 0 TD (w)Tj /F19 1 Tf 0.686 0 TD (t)Tj 2.82 -6.083 TD (DIGITAL)Tj /F21 1 Tf 6.718 0 0 6.718 208.943 428.116 Tm (f)Tj /F19 1 Tf 5.758 0 0 5.758 329.87 443.471 Tm (VCO)Tj ET 2 J 362.981 446.23 m 424.165 446.23 l S 0 J 388.774 478.798 m 388.774 477.976 388.097 477.299 387.275 477.299 c 386.452 477.299 385.775 477.976 385.775 478.798 c 385.775 479.621 386.452 480.298 387.275 480.298 c 388.097 480.298 388.774 479.621 388.774 478.798 c b* 425.964 479.038 m 425.964 478.216 425.287 477.539 424.464 477.539 c 423.642 477.539 422.965 478.216 422.965 479.038 c 422.965 479.861 423.642 480.538 424.464 480.538 c 425.287 480.538 425.964 479.861 425.964 479.038 c b* BT 5.758 0 0 5.758 397.772 525.522 Tm (R5)Tj ET 1 g 1 G 379.539 444.636 m 380.665 447.301 l 389.562 447.301 l 388.55 444.635 l 379.539 444.636 l b* 0 G 379.237 446.103 m 380.09 448.035 l 381.88 443.951 l 383.724 448.059 l 385.541 443.951 l 387.303 448.035 l 389.12 443.951 l 389.974 446.029 l S BT 5.758 0 0 5.758 381.456 450.668 Tm 0 g (R6)Tj 5.083 13.25 TD (C5)Tj -1.542 -3.667 TD (C4)Tj -5.333 -3.917 TD (R4)Tj 1.875 -3.917 TD (INTEGRATOR)Tj 4.875 -5.708 TD (VELOCITY)Tj ET 1 g 198.746 491.454 52.306 -25.071 re B* BT 5.758 0 0 5.758 216.141 479.938 Tm 0 g (RATIO)Tj -1.417 -1.083 TD (MULTIPLIER)Tj ET 2 J 310.196 452.588 m 243.014 452.588 l 310.196 439.392 m 231.257 439.392 l S 243.134 466.143 m 246.088 458.027 l 240.18 458.027 l 243.134 466.143 l f* 243.134 452.468 m 243.134 458.027 l S 231.257 466.383 m 234.212 458.267 l 228.303 458.266 l 231.257 466.383 l f* 231.257 439.272 m 231.257 458.267 l S BT 5.758 0 0 5.758 263.168 454.747 Tm (CLOCK)Tj -0.833 -2.25 TD (DIRECTION)Tj ET endstream endobj 37 0 obj <> endobj 38 0 obj <>/Font<>/ProcSet[/PDF/Text]>> endobj 39 0 obj <>stream 0 0 0 1 K 0 J 0 j 0.96 w 10 M []0 d BX /GS1 gs EX 1 i 54.48 755.52 521.04 -27.24 re S BT /F8 1 Tf 18 0 0 18 502.44 735.12 Tm 0 0 0 1 k BX /GS2 gs EX -0.01 Tc (AD2S80A)Tj /F5 1 Tf 9.5 0 0 9.5 53.04 17.16 Tm 0.026 Tc 0 Tw (REV. A)Tj 26.451 -0.038 TD 0.05 Tc (\32011\320)Tj /F14 1 Tf 8.85 0 0 9.5 54 708.96 Tm 0.006 Tc 0.014 Tw (for sinusoidal signals in phase or antiphase with the reference)Tj 0 -1.149 TD (\(for a square wave the DEMODULATOR OUTPUT voltage)Tj 0 -1.162 TD 0.013 Tw (will equal the DEMODULATOR INPUT\). This provides a sig-)Tj T* 0.01 Tw (nal at the DEMODULATOR OUTPUT which is a dc level)Tj 0 -1.149 TD 0.015 Tw (proportional to the positional error of the converter.)Tj 0 -1.579 TD 0.012 Tw [(DC Error Scaling)-331(= 160 mV/bit \(10 bits resolution\))]TJ 8.136 -1.162 TD (= 40 mV/bit \(12 bits resolution\))Tj T* (= 10 mV/bit \(14 bits resolution\))Tj 0 -1.149 TD (= 2.5 mV/bit \(16 bits resolution\))Tj -8.136 -1.579 TD 0.011 Tw (When the tracking loop is closed, this error is nulled to zero un-)Tj 0 -1.162 TD 0.015 Tw (less the converter input angle is accelerating.)Tj /F12 1 Tf 8.35 0 0 9 54 576 Tm 0.009 Tc (Integrator)Tj /F14 1 Tf 8.85 0 0 9.5 54 564.96 Tm 0.006 Tc 0.012 Tw (The integrator components \(R4, C4, R5, C5\) are external to the)Tj 0 -1.149 TD 0.013 Tw (AD2S80A to allow the user to determine the optimum dynamic)Tj 0 -1.162 TD 0.017 Tw (characteristics for any given application. The section \322COMPO-)Tj T* 0.014 Tw (NENT SELECTION\323 explains how to select components for a)Tj 0 -1.149 TD 0.031 Tw (chosen bandwidth.)Tj 0 -1.579 TD 0.011 Tw (Since the output from the integrator is fed to the VCO INPUT,)Tj 0 -1.162 TD 0.012 Tw (it is proportional to velocity \(rate of change of output angle\) and)Tj T* 0.011 Tw (can be scaled by selection of R6, the VCO input resistor. This is)Tj 0 -1.149 TD 0.017 Tw (explained in the section \322VOLTAGE CONTROLLED OSCIL-)Tj 0 -1.162 TD (LATOR \(VCO\)\323 below.)Tj 0 -1.579 TD (To prevent the converter from \322flickering\323 \(i.e., continually)Tj 0 -1.162 TD 0.011 Tw (toggling by )Tj /F21 1 Tf 5.166 0 TD (\261)Tj /F14 1 Tf 0.678 0 TD (1 bit when the quantized digital angle, )Tj /F21 1 Tf 17.18 0 TD (f)Tj /F14 1 Tf 0.529 0 TD (, is not an)Tj -23.553 -1.149 TD 0.015 Tw (exact representation of the input angle, )Tj /F21 1 Tf 17.546 0 TD (q)Tj /F14 1 Tf 0.529 0 TD (\) feedback is internally)Tj -18.075 -1.162 TD 0.011 Tw (applied from the VCO to the integrator input to ensure that the)Tj T* (VCO will only update the counter when the error is greater than)Tj 0 -1.149 TD 0.009 Tw [(or equal to 1 LSB. In order to ensure that this feedback \322hys-)]TJ 0 -1.162 TD 0.011 Tw (teresis\323 is set to 1 LSB the input current to the integrator must)Tj T* 0.012 Tw (be scaled to be 100 nA/bit. Therefore,)Tj /F27 1 Tf 9 0 0 8.975 109.8 330.48 Tm 0 g BX /GS1 gs EX 0 Tc 0 Tw ( )Tj /F28 1 Tf 0 0 TD ( )Tj /F15 1 Tf 9.5 0 0 9.474 111.8 343.444 Tm (R)Tj /F13 1 Tf 0.737 0 TD (4)Tj /F21 1 Tf 0.832 0 TD (=)Tj /F15 1 Tf 0.905 0.701 TD [(DC)-396(Error)-321(Scaling)]TJ /F13 1 Tf 7.681 0 TD (\()Tj /F15 1 Tf 0.421 0 TD (mV)Tj /F13 1 Tf 1.618 0 TD (/)Tj /F15 1 Tf 0.316 0 TD (bit)Tj /F13 1 Tf 1.457 0 TD (\))Tj -8.408 -1.717 TD [(100)-186(\()]TJ /F15 1 Tf 2.263 0 TD (nA)Tj /F13 1 Tf 1.395 0 TD (/)Tj /F15 1 Tf 0.316 0 TD (bit)Tj /F13 1 Tf 1.194 0 TD (\))Tj ET 0 G 0.499 w 134.8 345.906 m 249.488 345.906 l S BT /F14 1 Tf 8.85 0 0 9.5 54 316.2 Tm 0 0 0 1 k BX /GS2 gs EX 0.006 Tc 0.012 Tw (Any offset at the input of the integrator will affect the accuracy)Tj 0 -1.162 TD 0.01 Tw (of the conversion as it will be treated as an error signal and off-)Tj 0 -1.149 TD (set the digital output. One LSB of extra error will be added for)Tj 0 -1.162 TD 0.011 Tw (each 100 nA of input bias current. The method of adjusting out)Tj T* -0.014 Tc 0.014 Tw [(t)-20(his offset is given in the section \322COMPONENT SELECTION.\323)]TJ /F12 1 Tf 8.35 0 0 9 54 256.56 Tm 0.008 Tc 0.013 Tw (Voltage Controlled Oscillator )Tj 9.3 0 0 10 177.12 256.56 Tm 0.005 Tc (\(VCO\))Tj /F14 1 Tf 8.85 0 0 9.5 54 245.16 Tm 0.006 Tc 0.011 Tw (The VCO is essentially a simple integrator feeding a pair of dc)Tj 0 -1.149 TD 0.017 Tw (level comparators. Whenever the integrator output reaches one)Tj 0 -1.162 TD 0.014 Tw (of the comparator threshold voltages, a fixed charge is injected)Tj T* 0.012 Tw (into the integrator input to balance the input current. At the)Tj 0 -1.149 TD (same time the counter is clocking either up or down, dependent)Tj 0 -1.162 TD 0.011 Tw (on the polarity of the input current. In this way the counter is)Tj T* 0.012 Tw (clocked at a rate proportional to the magnitude of the input cur-)Tj 0 -1.149 TD 0.009 Tw (rent of the VCO.)Tj 0 -1.579 TD 0.013 Tw (During the reset period the input continues to be integrated, the)Tj 0 -1.162 TD 0.011 Tw (reset period is constant at 400 ns.)Tj 0 -1.579 TD 0.01 Tw (The VCO rate is fixed for a given input current by the VCO)Tj 0 -1.162 TD 0.025 Tw (scaling factor:)Tj 11.254 -1.579 TD 0.012 Tw (= 7.9 )Tj /F16 1 Tf 2.671 0 TD (kHz)Tj /F14 1 Tf 1.844 0 TD (/)Tj /F21 1 Tf 0.298 0 TD (m)Tj /F14 1 Tf 0.502 0 TD (A)Tj 13.885 64.257 TD 0.01 Tw (The tracking rate in rps per )Tj /F21 1 Tf 12.407 0 TD (m)Tj /F14 1 Tf 0.515 0 TD (A of VCO input current can be)Tj -12.922 -1.149 TD 0.011 Tw (found by dividing the VCO scaling factor by the number of LSB)Tj 0 -1.162 TD 0.014 Tw (changes per rev \(i.e., 4096 for 12-bit resolution\).)Tj 0 -1.579 TD (The input resistor R6 determines the scaling between the con-)Tj 0 -1.162 TD (verter velocity signal voltage at the INTEGRATOR OUTPUT)Tj 0 -1.149 TD 0.009 Tw (pin and the VCO input current. Thus to achieve a 5 V output at)Tj 0 -1.162 TD 0.011 Tw (100 rps \(6000 rpm)Tj /F12 1 Tf 8.325 0 TD (\) )Tj /F14 1 Tf 0.719 0 TD (and 12-bit resolution the VCO input cur-)Tj -9.044 -1.162 TD 0.013 Tw (rent must be:)Tj /F27 1 Tf 9.003 0 0 9 384.24 609.84 Tm 0 g BX /GS1 gs EX 0 Tc 0 Tw ( )Tj /F28 1 Tf 0 0 TD ( )Tj /F13 1 Tf 9.503 0 0 9.5 385.24 613.84 Tm [(\()17(100)]TJ /F21 1 Tf 2.247 0 TD (\264)Tj /F13 1 Tf 0.743 0 TD [(4096)-81(\))-36(/)15(\()-36(7900)-81(\))]TJ /F21 1 Tf 6.359 0 TD (=)Tj /F13 1 Tf 0.799 0 TD [(51)130(.)-76(8)]TJ /F21 1 Tf 2.151 0 TD (m)Tj /F15 1 Tf 0.576 0 TD (A)Tj /F14 1 Tf 8.85 0 0 9.5 323.52 597.24 Tm 0 0 0 1 k BX /GS2 gs EX 0.006 Tc 0.009 Tw (Thus, R6 would be set to: 5/\(51.8 )Tj /F21 1 Tf 15.281 0 TD (\264)Tj /F14 1 Tf 0.556 0 TD [( 10)]TJ 5.95 0 0 6.4 476.04 600.96 Tm (\3206)Tj /F16 1 Tf 8.85 0 0 9.5 482.4 597.24 Tm (\) = )Tj /F14 1 Tf 1.695 0 TD (96 k)Tj /F21 1 Tf 1.925 0 TD (W)Tj /F14 1 Tf -21.573 -1.579 TD 0.013 Tw (The velocity offset voltage depends on the VCO input resistor,)Tj 0 -1.162 TD 0.01 Tw (R6, and the VCO bias current and is given by)Tj /F16 1 Tf 3.715 -1.579 TD 0.013 Tw (Velocity Offset Voltage = R)Tj /F14 1 Tf 11.308 0 TD (6)Tj /F21 1 Tf 0.556 0 TD 0.017 Tc 0 Tw ( \264)Tj /F14 1 Tf 0.827 0 TD 0.019 Tc ( \()Tj /F16 1 Tf 0.678 0 TD 0.006 Tc 0.013 Tw (VCO bias current\))Tj /F14 1 Tf -17.085 -1.579 TD (The temperature coefficient of this offset is given by)Tj /F16 1 Tf 2.102 -1.579 TD 0.012 Tw (Velocity Offset Tempco = )Tj /F14 1 Tf 10.685 0 TD (R6 )Tj /F21 1 Tf 1.573 0 TD (\264)Tj /F14 1 Tf 0.556 0 TD 0.018 Tc 0 Tw ( \()Tj /F16 1 Tf 0.692 0 TD 0.006 Tc (VCO)Tj /F14 1 Tf 2.237 0 TD ( )Tj /F16 1 Tf 0.285 0 TD 0.012 Tw (bias current tempco\))Tj /F14 1 Tf -18.129 -1.579 TD 0.013 Tw (where the VCO bias current tempco is typically \3201.22 nA/)Tj /F21 1 Tf 25.573 0 TD (\260)Tj /F14 1 Tf 0.407 0 TD (C.)Tj -25.98 -1.579 TD 0.011 Tw (The maximum recommended rate for the VCO is 1.1 MHz)Tj 0 -1.149 TD 0.015 Tw (which sets the maximum possible tracking rate.)Tj 0 -1.579 TD (Since the minimum voltage swing available at the integrator)Tj 0 -1.162 TD 0.01 Tw (output is )Tj /F21 1 Tf 4.203 0 TD (\261)Tj /F14 1 Tf 0.678 0 TD (8 V, this implies that the minimum value for R6 is)Tj -4.881 -1.162 TD 0.005 Tw (57 k)Tj /F21 1 Tf 1.912 0 TD (W)Tj /F14 1 Tf 0.773 0 TD 0.007 Tc (. As)Tj /F27 1 Tf 8.998 0 0 8.989 377.28 369.12 Tm 0 g BX /GS1 gs EX 0 Tc 0 Tw ( )Tj /F28 1 Tf T* ( )Tj /F15 1 Tf 9.497 0 0 9.488 379.779 412.972 Tm [(Max)-296(Current)]TJ /F21 1 Tf 5.786 0 TD (=)Tj /F13 1 Tf 0.957 0.865 TD -0.131 Tc [(1.)-155(1)]TJ /F21 1 Tf 1.405 0 TD (\264)Tj /F13 1 Tf 0.691 0 TD 0 Tc (10)Tj 6.998 0 0 6.991 474.472 426.518 Tm (6)Tj 9.497 0 0 9.488 443.324 403.047 Tm -0.078 Tc [(7.)-154(9)]TJ /F21 1 Tf 1.562 0 TD (\264)Tj /F13 1 Tf 0.691 0 TD 0 Tc (10)Tj 6.998 0 0 6.991 475.472 408.384 Tm (3)Tj ET 0.5 w 442.824 415.438 m 480.97 415.438 l S BT /F21 1 Tf 9.497 0 0 9.488 483.876 412.972 Tm (=)Tj /F13 1 Tf 0.747 0 TD (139)Tj /F21 1 Tf 1.862 0 TD (m)Tj /F15 1 Tf 0.576 0 TD (A)Tj -14.145 -3.401 TD [(Min)-71(Value)-390(R)]TJ /F13 1 Tf 5.375 0 TD (6)Tj 2.77 0.701 TD (8)Tj -2.033 -1.747 TD (139)Tj /F21 1 Tf 1.826 0 TD (\264)Tj /F13 1 Tf 0.691 0 TD (10)Tj 6.998 0 0 6.991 472.972 376.111 Tm (\2616)Tj ET 437.826 383.165 m 481.97 383.165 l S BT /F21 1 Tf 9.497 0 0 9.488 484.875 380.699 Tm (=)Tj /F13 1 Tf 0.799 0 TD (57)Tj /F15 1 Tf 1.289 0 TD (k)Tj /F21 1 Tf 0.553 0 TD (W)Tj /F12 1 Tf 8.35 0 0 9 323.52 351.24 Tm 0 0 0 1 k BX /GS2 gs EX 0.008 Tc 0.018 Tw (Transfer Function)Tj /F14 1 Tf 8.85 0 0 9.5 323.52 340.2 Tm 0.006 Tc 0.013 Tw (By selecting components using the method outlined in the sec-)Tj 0 -1.149 TD 0.016 Tw (tion \322Component Selection,\323 the converter will have a critically)Tj 0 -1.162 TD 0.014 Tw (damped time response and maximum phase margin. The)Tj T* 0.016 Tw (Closed-Loop Transfer Function is given by:)Tj /F28 1 Tf 9.002 0 0 9.012 375.24 267.72 Tm 0 g BX /GS1 gs EX 0 Tc 0 Tw ( )Tj /F27 1 Tf 0 0 TD ( )Tj /F21 1 Tf 9.503 0 0 9.513 377.834 287.028 Tm (q)Tj /F15 1 Tf 6.533 0 0 6.54 382.68 285.244 Tm (OUT)Tj /F21 1 Tf 9.503 0 0 9.513 381.273 274.041 Tm (q)Tj /F15 1 Tf 6.533 0 0 6.54 386.618 272.258 Tm (IN)Tj ET 0.5 w 377.241 283.21 m 399.965 283.21 l S BT /F21 1 Tf 9.503 0 0 9.513 402.122 280.738 Tm (=)Tj /F13 1 Tf 4.51 0.661 TD [(14)-136(\()69(1)]TJ /F21 1 Tf 2.204 0 TD (+)Tj /F15 1 Tf 0.747 0 TD (s)Tj 6.533 0 0 6.54 475.548 285.244 Tm (N)Tj /F13 1 Tf 9.503 0 0 9.513 483.3 287.028 Tm (\))Tj -7.77 -1.632 TD (\()Tj /F15 1 Tf 0.421 0 TD (s)Tj 6.533 0 0 6.54 416.751 269.723 Tm (N)Tj /F21 1 Tf 9.503 0 0 9.513 424.91 271.506 Tm (+)Tj /F13 1 Tf 0.668 0 TD -0.052 Tc [(2.)-76(4)-79(\))-88(\()]TJ /F15 1 Tf 2.237 0 TD (s)Tj 6.533 0 0 6.54 455.793 269.723 Tm (N)Tj /F13 1 Tf 0.727 1.081 TD (2)Tj /F21 1 Tf 9.503 0 0 9.513 466.702 271.506 Tm (+)Tj /F13 1 Tf 0.773 0 TD [(3.)-76(4)]TJ /F15 1 Tf 1.553 0 TD (s)Tj 6.533 0 0 6.54 492.084 269.723 Tm (N)Tj /F21 1 Tf 9.503 0 0 9.513 500.242 271.506 Tm (+)Tj /F13 1 Tf 0.668 0 TD -0.078 Tc [(5.)-75(8)-79(\))]TJ ET 409.468 283.21 m 522.842 283.21 l S BT /F14 1 Tf 8.85 0 0 9.5 323.52 253.44 Tm 0 0 0 1 k BX /GS2 gs EX 0.006 Tc 0.015 Tw (where, s)Tj 5.95 0 0 6.4 355.56 251.88 Tm (N)Tj 8.85 0 0 9.5 360.6 253.44 Tm (, the normalized frequency variable is:)Tj 5.736 -2.905 TD 0.008 Tc 0 Tw ( )Tj /F28 1 Tf 9 0 0 9.014 434.16 217.2 Tm 0 g BX /GS1 gs EX 0 Tc ( )Tj /F27 1 Tf 0 0 TD ( )Tj /F15 1 Tf 9.5 0 0 9.515 435.91 227.215 Tm (s)Tj 6.531 0 0 6.541 439.191 225.4 Tm (N)Tj /F21 1 Tf 9.5 0 0 9.515 447.848 227.215 Tm (=)Tj /F13 1 Tf 0.862 0.556 TD (2)Tj /F21 1 Tf 0.007 -0.97 TD (p)Tj ET 0.5 w 455.191 229.688 m 462.129 229.688 l S BT /F15 1 Tf 9.5 0 0 9.515 475.035 232.505 Tm (s)Tj -0.648 -1.155 TD (f)Tj 6.531 0 0 6.541 473.129 219.704 Tm (BW)Tj ET 466.629 229.688 m 486.723 229.688 l S BT /F14 1 Tf 8.85 0 0 9.5 323.52 203.28 Tm 0 0 0 1 k BX /GS2 gs EX 0.006 Tc (and)Tj /F16 1 Tf 1.641 0 TD ( )Tj /F14 1 Tf 0.285 0 TD (f)Tj 5.95 0 0 6.4 343.44 201.6 Tm (BW)Tj /F16 1 Tf 8.85 0 0 9.5 353.52 203.28 Tm ( )Tj /F14 1 Tf 0.298 0 TD 0.01 Tw [( is the closed-loop 3 dB bandwidth \(selected by the)]TJ -3.688 -1.162 TD 0.021 Tw (choice of external components\).)Tj 0 -1.579 TD 0.015 Tw (The acceleration K)Tj 5.95 0 0 6.4 398.4 175.68 Tm (A)Tj /F16 1 Tf 8.85 0 0 9.5 402.72 177.24 Tm (, )Tj /F14 1 Tf 0.624 0 TD (is given approximately by)Tj /F27 1 Tf 9.007 0 0 8.958 399.72 150.96 Tm 0 g BX /GS1 gs EX 0 Tc 0 Tw ( )Tj /F28 1 Tf T* ( )Tj /F15 1 Tf 9.508 0 0 9.455 401.722 154.941 Tm (K)Tj 7.006 0 0 6.967 410.979 151.986 Tm (A)Tj /F21 1 Tf 9.508 0 0 9.455 419.392 154.941 Tm (=)Tj /F13 1 Tf 0.799 0 TD (6)Tj /F21 1 Tf 0.773 0 TD (\264)Tj /F13 1 Tf 0.743 0 TD (\()Tj /F15 1 Tf 0.632 0 TD (f)Tj 7.006 0 0 6.967 451.668 151.986 Tm (BW)Tj /F13 1 Tf 9.508 0 0 9.455 467.18 154.941 Tm (\))Tj 7.006 0 0 6.967 470.183 160.26 Tm (2)Tj 9.508 0 0 9.455 477.689 154.941 Tm (sec)Tj 7.006 0 0 6.967 491.606 160.26 Tm (\2612)Tj /F14 1 Tf 8.85 0 0 9.5 323.52 137.88 Tm 0 0 0 1 k BX /GS2 gs EX 0.006 Tc 0.012 Tw (The normalized gain and phase diagrams are given in Figures 4)Tj 0 -1.162 TD 0.008 Tw (and 5.)Tj ET endstream endobj 40 0 obj <> endobj 41 0 obj <>/Font<>/ProcSet[/PDF/Text]>> endobj 42 0 obj <>stream BT /F8 1 Tf 18 0 0 18 36 735.24 Tm 0 0 0 1 k BX /GS2 gs EX -0.01 Tc (AD2S80A)Tj /F5 1 Tf 9.5 0 0 9.5 526.08 18.48 Tm 0.026 Tc 0 Tw (REV. A)Tj -25.213 -0.013 TD 0.05 Tc (\32012\320)Tj /F19 1 Tf 5.758 0 0 5.761 74.273 710.638 Tm 0 g BX /GS1 gs EX 0 Tc (12)Tj -0.583 -24.083 TD (\32012)Tj 26.667 -1.167 TD (f)Tj 4.798 0 0 4.801 226.376 563.725 Tm (BW)Tj 5.758 0 0 5.761 74.273 606.454 Tm (\3206)Tj 0 -3 TD (\3209)Tj 5.417 -4.167 TD (0.04f)Tj 4.798 0 0 4.801 118.656 563.725 Tm (BW)Tj 5.758 0 0 5.761 78.112 565.165 Tm (0.02f)Tj 4.798 0 0 4.801 91.307 563.725 Tm (BW)Tj 5.758 0 0 5.761 77.392 641.022 Tm (0)Tj -0.542 -2.958 TD (\3203)Tj 0.542 6.042 TD (3)Tj 0 3 TD (6)Tj T* (9)Tj 19.917 -22.25 TD (0.4f)Tj 4.798 0 0 4.801 201.905 563.725 Tm (BW)Tj 5.758 0 0 5.761 162.8 565.165 Tm (0.2f)Tj 4.798 0 0 4.801 172.636 563.725 Tm (BW)Tj 5.758 0 0 5.761 134.251 565.165 Tm (0.1f)Tj 4.798 0 0 4.801 144.087 563.725 Tm (BW)Tj ET 0 G 0 J 0 j 0.96 w 4 M []0 d 1 i 85.189 712.558 172.735 -137.311 re S 2 J 0.48 w 84.949 591.936 m 257.684 591.936 l 84.949 609.1 m 257.684 609.1 l 84.949 626.264 m 257.684 626.264 l 84.949 643.428 m 257.684 643.428 l 84.949 660.592 m 257.684 660.592 l 84.949 677.755 m 257.684 677.755 l 84.949 694.919 m 257.684 694.919 l 113.498 575.487 m 113.498 712.798 l 199.866 575.487 m 199.866 712.798 l 228.655 575.487 m 228.655 712.798 l 171.077 575.487 m 171.077 712.798 l 142.288 575.487 m 142.288 712.798 l S BT 5.758 0 0 5.761 154.883 555.323 Tm (FREQUENCY)Tj 0 5.761 -5.758 0 65.037 628.179 Tm (GAIN PLOT)Tj 5.758 0 0 5.761 250.127 565.165 Tm (2f)Tj 4.798 0 0 4.801 255.165 563.725 Tm (BW)Tj ET 0 J 1.2 w 85.189 643.053 m 113.409 643.053 128.321 643.131 130.634 643.291 c 132.947 643.452 136.186 643.922 140.504 644.724 c 144.821 645.526 148.888 646.466 152.898 647.589 c 156.907 648.711 161.275 650.043 166.21 651.647 c 171.145 653.251 175.4 654.7 179.178 656.063 c 182.956 657.427 186.006 658.523 188.473 659.405 c 190.941 660.287 193.238 660.913 195.474 661.314 c 197.71 661.715 199.932 661.754 202.245 661.434 c 204.558 661.113 207.12 660.252 210.054 658.809 c 212.987 657.366 215.318 655.604 217.158 653.438 c 218.998 651.272 220.88 648.765 222.891 645.798 c 224.903 642.831 227.087 638.913 229.547 633.857 c 232.008 628.802 234.509 623.426 237.17 617.474 c 239.831 611.522 242.395 605.922 244.984 600.409 c 247.573 594.896 251.11 586.641 255.765 575.251 c S BT /F5 1 Tf 9 0 1.913 9 100.56 533.64 Tm 0 0 0 1 k BX /GS2 gs EX 0.008 Tc 0.001 Tw (Figure 4. AD2S80A Gain Plot)Tj /F19 1 Tf 5.758 0 0 5.761 70.874 503.638 Tm 0 g BX /GS1 gs EX 0 Tc (180)Tj -0.542 -24.083 TD (\320180)Tj 0 5.761 -5.758 0 64.997 418.539 Tm 0 Tw (PHASE PLOT)Tj 5.758 0 0 5.761 224.417 358.165 Tm (f)Tj 4.798 0 0 4.801 226.336 356.725 Tm (BW)Tj 5.758 0 0 5.761 70.874 399.454 Tm (\32090)Tj -0.542 -3 TD (\320135)Tj 6.542 -4.167 TD (0.04f)Tj 4.798 0 0 4.801 118.616 356.725 Tm (BW)Tj 5.758 0 0 5.761 78.072 358.165 Tm (0.02f)Tj 4.798 0 0 4.801 91.267 356.725 Tm (BW)Tj 5.758 0 0 5.761 77.352 434.022 Tm (0)Tj -1.125 -2.958 TD (\32045)Tj 0.583 6.042 TD (45)Tj 0 3 TD (90)Tj -0.583 3 TD (135)Tj 21.042 -22.25 TD (0.4f)Tj 4.798 0 0 4.801 201.865 356.725 Tm (BW)Tj 5.758 0 0 5.761 162.76 358.165 Tm (0.2f)Tj 4.798 0 0 4.801 172.596 356.725 Tm (BW)Tj 5.758 0 0 5.761 134.211 358.165 Tm (0.1f)Tj 4.798 0 0 4.801 144.047 356.725 Tm (BW)Tj ET 0.96 w 85.149 505.558 172.735 -137.311 re S 2 J 0.48 w 84.909 384.936 m 257.644 384.936 l 84.909 402.1 m 257.644 402.1 l 84.909 419.264 m 257.644 419.264 l 84.909 436.428 m 257.644 436.428 l 84.909 453.592 m 257.644 453.592 l 84.909 470.755 m 257.644 470.755 l 84.909 487.919 m 257.644 487.919 l 113.458 368.487 m 113.458 505.798 l 199.826 368.487 m 199.826 505.798 l 228.615 368.487 m 228.615 505.798 l 171.037 368.487 m 171.037 505.798 l 142.248 368.487 m 142.248 505.798 l S BT 5.758 0 0 5.761 154.843 348.323 Tm (FREQUENCY)Tj 16.542 1.708 TD (2f)Tj 4.798 0 0 4.801 255.125 356.725 Tm (BW)Tj ET 0 J 1.2 w 85.149 436.663 m 136.692 436.663 163.07 436.542 165.539 436.294 c 168.009 436.047 169.893 435.764 171.282 435.433 c 172.671 435.102 174.216 434.617 175.99 433.955 c 177.765 433.294 179.611 432.526 181.618 431.615 c 183.624 430.703 185.621 429.692 187.704 428.532 c 189.788 427.373 191.672 426.078 193.447 424.587 c 195.221 423.096 197.972 420.871 201.83 417.806 c 205.688 414.742 208.364 412.638 209.984 411.396 c 211.604 410.153 213.187 408.859 214.807 407.45 c 216.428 406.042 219.782 403.413 225.028 399.437 c 230.275 395.461 233.742 392.912 235.594 391.67 c 237.446 390.427 239.292 389.295 241.221 388.218 c 243.15 387.141 245.148 386.089 247.308 385.012 c 249.469 383.936 251.277 383.167 252.821 382.67 c 254.364 382.173 255.532 381.93 256.381 381.93 c 257.23 381.93 257.644 381.93 y S BT /F5 1 Tf 9 0 1.913 9 96.84 326.64 Tm 0 0 0 1 k BX /GS2 gs EX 0.008 Tc 0.003 Tw [(Figure 5.)-492(AD2S80A Phase Plot)]TJ ET 2 J 0.96 w BX /GS1 gs EX 84.292 147.718 m 84.292 312.44 l S 0.72 w 230.144 297.564 m 230.144 252.934 l 130.389 273.209 m 130.389 147.838 l S 0.96 w 84.532 171.472 m 272.999 171.472 l S 0 g 130.629 154.436 m 122.507 151.482 l 122.507 157.39 l 130.629 154.436 l f* 84.292 154.436 m 92.414 157.39 l 92.414 151.482 l 84.292 154.436 l f* 0.72 w 92.414 154.436 m 122.507 154.436 l S 230.384 289.525 m 222.262 286.571 l 222.262 292.479 l 230.384 289.525 l f* 84.532 289.525 m 92.654 292.479 l 92.654 286.571 l 84.532 289.525 l f* 92.654 289.525 m 222.262 289.525 l S 0 J 1.2 w 85.013 171.472 m 88.561 174.697 90.964 177.334 92.335 179.511 c 93.706 181.687 94.809 183.931 95.696 186.349 c 96.584 188.767 97.45 191.444 98.337 194.507 c 99.225 197.57 100.052 200.68 100.858 203.985 c 101.665 207.29 102.571 211.305 103.619 216.222 c 104.668 221.139 105.652 225.706 106.62 230.139 c 107.588 234.572 108.415 238.351 109.141 241.656 c 109.867 244.961 110.812 248.347 112.022 251.974 c 113.232 255.601 114.374 258.593 115.503 261.092 c 116.633 263.591 117.735 265.795 118.865 267.81 c 119.994 269.826 121.136 271.44 122.346 272.729 c 123.556 274.019 124.895 274.885 126.427 275.369 c 127.96 275.852 129.456 276.049 130.989 275.968 c 132.521 275.888 134.018 275.534 135.551 274.889 c 137.083 274.244 138.54 273.417 139.992 272.369 c 141.444 271.321 142.901 270.18 144.434 268.89 c 145.966 267.6 147.463 266.301 148.995 264.931 c 150.528 263.561 152.143 262.143 153.917 260.612 c 155.691 259.08 157.464 257.742 159.319 256.533 c 161.174 255.324 163.065 254.261 165.081 253.294 c 167.097 252.326 169.185 251.382 171.443 250.414 c 173.702 249.447 175.907 248.738 178.166 248.255 c 180.424 247.771 182.669 247.338 185.008 246.935 c 187.347 246.532 189.513 246.335 191.61 246.335 c 193.707 246.335 195.953 246.414 198.453 246.575 c 200.953 246.736 203.474 246.973 206.135 247.295 c 208.797 247.617 211.318 248.05 213.818 248.615 c 216.318 249.179 218.485 249.612 220.421 249.934 c 222.356 250.257 224.404 250.532 226.663 250.774 c 228.921 251.016 237.114 251.134 251.632 251.134 c 266.149 251.134 273.239 251.134 y S BT /F19 1 Tf 5.762 0 0 5.759 57.523 303.442 Tm 0 Tc (OUTPUT)Tj -0.667 -1.083 TD (POSITION)Tj ET 1 g 1 G 0.24 w 152.236 294.084 11.764 -8.878 re B* BT 6.722 0 0 6.718 155.958 288.086 Tm 0 g (t)Tj 5.762 0 0 5.759 158.119 286.406 Tm (2)Tj ET 1 g 101.338 158.755 11.764 -8.878 re B* BT 6.722 0 0 6.718 105.06 152.757 Tm 0 g (t)Tj 5.762 0 0 5.759 107.221 151.077 Tm (1)Tj 25.75 2.208 TD (TIME)Tj /F5 1 Tf 9 0 1.913 9 73.44 127.68 Tm 0 0 0 1 k BX /GS2 gs EX 0.008 Tc [(Figure 6.)-493(AD2S80A Small Step Response)]TJ /F14 1 Tf 8.85 0 0 9.5 305.52 711.6 Tm 0.006 Tc 0.011 Tw (The small signal step response is shown in Figure 6. The time)Tj 0 -1.149 TD 0.009 Tw (from the step to the first peak is t)Tj 5.95 0 0 6.4 435 699 Tm (1)Tj 8.85 0 0 9.5 438.36 700.68 Tm [( and the t)]TJ 5.95 0 0 6.4 475.8 699 Tm (2)Tj 8.85 0 0 9.5 479.16 700.68 Tm [( is the time from)]TJ -19.62 -1.162 TD 0.01 Tw (the step until the converter is settled to 1 LSB. The times t)Tj 5.95 0 0 6.4 535.68 688.08 Tm (1)Tj 8.85 0 0 9.5 538.92 689.64 Tm [( and)]TJ -26.373 -1.162 TD (t)Tj 5.95 0 0 6.4 308.52 677.04 Tm (2)Tj 8.85 0 0 9.5 311.76 678.6 Tm 0.014 Tw [( are given approximately by)]TJ /F27 1 Tf 9.011 0 0 9.006 399.72 601.44 Tm 0 g BX /GS1 gs EX 0 Tc 0 Tw ( )Tj /F28 1 Tf 0 0 TD ( )Tj /F15 1 Tf 9.512 0 0 9.506 401.222 650.47 Tm (t)Tj /F13 1 Tf 7.009 0 0 7.004 403.224 647.5 Tm (1)Tj /F21 1 Tf 9.512 0 0 9.506 410.139 650.47 Tm (=)Tj /F13 1 Tf 1.76 0.701 TD (1)Tj /F15 1 Tf -0.645 -1.401 TD (f)Tj 7.009 0 0 7.004 425.002 640.839 Tm (BW)Tj ET 0 G 0.5 w 10 M 418.243 652.94 m 440.521 652.94 l S BT 9.512 0 0 9.506 401.222 615.449 Tm (t)Tj /F13 1 Tf 7.009 0 0 7.004 404.226 612.478 Tm (2)Tj /F21 1 Tf 9.512 0 0 9.506 411.641 615.449 Tm (=)Tj /F13 1 Tf 1.76 0.701 TD (5)Tj /F15 1 Tf -0.645 -1.401 TD (f)Tj 7.009 0 0 7.004 426.503 605.818 Tm (BW)Tj ET 419.745 617.919 m 442.023 617.919 l S BT /F21 1 Tf 9.512 0 0 9.506 444.37 615.449 Tm (\264)Tj /F15 1 Tf 1.02 0.701 TD (R)Tj /F13 1 Tf -0.224 -1.717 TD (12)Tj ET 451.941 617.919 m 462.705 617.919 l S BT /F14 1 Tf 8.85 0 0 9.5 305.52 583.68 Tm 0 0 0 1 k BX /GS2 gs EX 0.006 Tc 0.009 Tw (where R = resolution, i.e., 10, 12, 14 or 16.)Tj 0 -1.579 TD 0.013 Tw (The large signal step response \(for steps greater than 5 degrees\))Tj 0 -1.162 TD 0.012 Tw (applies when the error voltage exceeds the linear range of the)Tj T* 0.008 Tc (converter.)Tj 0 -1.579 TD 0.006 Tc (Typically the converter will take 3 times longer to reach the first)Tj 0 -1.149 TD 0.011 Tw (peak for a 179 degrees step.)Tj 0 -1.579 TD 0.012 Tw (In response to a velocity step, the velocity output will exhibit the)Tj 0 -1.162 TD 0.014 Tw (same time response characteristics as outlined above for the po-)Tj T* 0.028 Tw (sition output.)Tj /F12 1 Tf 8.35 0 0 9 305.52 461.64 Tm 0.008 Tc 0.017 Tw (ACCELERATION ERROR)Tj /F14 1 Tf 8.85 0 0 9.5 305.52 450.6 Tm 0.006 Tc 0.012 Tw (A tracking converter employing a Type 2 servo loop does not)Tj 0 -1.149 TD 0.013 Tw (suffer any velocity lag, however, there is an additional error due)Tj 0 -1.162 TD (to acceleration. This additional error can be defined using the)Tj T* 0.017 Tw [(acceleration constant )18(K)]TJ 5.95 0 0 6.4 397.56 416.04 Tm (A)Tj 8.85 0 0 9.5 401.88 417.6 Tm 0.016 Tw [( of the converter.)]TJ /F27 1 Tf 9.006 0 0 9.012 372.72 382.08 Tm 0 g BX /GS1 gs EX 0 Tc 0 Tw ( )Tj /F15 1 Tf 9.506 0 0 9.513 374.721 395.098 Tm (K)Tj 7.005 0 0 7.01 383.978 392.125 Tm (A)Tj /F21 1 Tf 9.506 0 0 9.513 392.39 395.098 Tm (=)Tj /F15 1 Tf 1.73 0.701 TD [(Input)-455(Acceleration)]TJ -0.773 -1.454 TD [(Error)-269(in)-159(Output)-467(Angle)]TJ ET 0.501 w 400.489 397.57 m 489.737 397.57 l S BT /F14 1 Tf 8.85 0 0 9.5 305.52 370.8 Tm 0 0 0 1 k BX /GS2 gs EX 0.006 Tc 0.017 Tw (The numerator and denominator must have consistent angular)Tj 0 -1.149 TD 0.012 Tw [(units. For example if )20(K)]TJ 5.95 0 0 6.4 396.6 358.2 Tm (A)Tj 8.85 0 0 9.5 400.8 359.88 Tm [( is in sec)]TJ 5.95 0 0 6.4 434.16 363.6 Tm (-2)Tj 8.85 0 0 9.5 439.68 359.88 Tm (, then the input acceleration)Tj -15.159 -1.162 TD 0.013 Tw (may be specified in degrees/sec)Tj 5.95 0 0 6.4 426.48 352.56 Tm (2)Tj 8.85 0 0 9.5 429.84 348.84 Tm [( and the error output in degrees.)]TJ -14.047 -1.162 TD 0.015 Tw (Angular measurement may also be specified using radians, min-)Tj 0 -1.149 TD 0.011 Tw (utes of arc, LSBs, etc.)Tj /F16 1 Tf 0 -1.478 TD (K)Tj 5.95 0 0 6.4 312.36 311.28 Tm (A)Tj 8.85 0 0 9.5 316.8 312.84 Tm 0.012 Tw [( does not define maximum input acceleration, )]TJ /F14 1 Tf 18.807 0 TD (only the error due)Tj -20.081 -1.162 TD 0.018 Tw (to it\325s acceleration. The maximum acceleration allowable before)Tj 0 -1.149 TD 0.014 Tw (the converter loses track is dependent on the angular accuracy)Tj 0 -1.162 TD 0.017 Tw (requirements of the system.)Tj /F16 1 Tf 6.237 -1.263 TD 0.014 Tw (Angular Accuracy)Tj /F14 1 Tf 7.471 0 TD ( )Tj /F21 1 Tf 0.298 0 TD (\264)Tj /F14 1 Tf 0.556 0 TD 0.02 Tc 0 Tw ( K)Tj 5.95 0 0 6.4 444.12 266.28 Tm (A)Tj 8.85 0 0 9.5 448.44 267.84 Tm 0.006 Tc 0.014 Tw [( = )]TJ /F16 1 Tf 1.275 0 TD (Degrees)Tj /F14 1 Tf 3.092 0 TD (/)Tj /F16 1 Tf 0.285 0 TD (sec)Tj /F14 1 Tf 5.95 0 0 6.4 499.44 271.56 Tm (2)Tj 8.85 0 0 9.5 305.52 254.88 Tm (K)Tj 5.95 0 0 6.4 312.6 253.2 Tm (A)Tj 8.85 0 0 9.5 316.92 254.88 Tm 0.01 Tw [( can be used to predict the output position error for a)]TJ -1.288 -1.162 TD 0.015 Tw (given input acceleration. For example for an acceleration of)Tj T* 0.008 Tw (100 revs/sec)Tj 5.95 0 0 6.4 352.8 236.52 Tm (2)Tj 8.85 0 0 9.5 356.04 232.8 Tm 0.01 Tw (, K)Tj 5.95 0 0 6.4 368.4 231.24 Tm (A )Tj 8.85 0 0 9.5 374.52 232.8 Tm 0.006 Tw (= 2.7 )Tj /F21 1 Tf 2.658 0 TD (\264)Tj /F14 1 Tf 0.556 0 TD 0.01 Tw [( 10)]TJ 5.95 0 0 6.4 415.44 236.52 Tm (6)Tj 8.85 0 0 9.5 418.8 232.8 Tm [( sec)]TJ 5.95 0 0 6.4 433.32 236.52 Tm (-2)Tj 8.85 0 0 9.5 438.84 232.8 Tm [( and 12-bit resolution.)]TJ /F27 1 Tf 9 0 0 9.007 319.2 170.88 Tm 0 g BX /GS1 gs EX 0 Tc 0 Tw ( )Tj /F28 1 Tf T* ( )Tj /F27 1 Tf T* ( )Tj /F15 1 Tf 9.5 0 0 9.507 339.794 208.627 Tm [(Error)-334(in)-224(LSBs)]TJ /F21 1 Tf 6.033 0 TD (=)Tj /F15 1 Tf 0.878 0.661 TD [(Input)-228(acceleration)]TJ /F13 1 Tf 7.47 0 TD ([)Tj /F15 1 Tf 0.395 0 TD (LSB)Tj /F13 1 Tf 1.944 0 TD (/)Tj /F15 1 Tf 0.289 0 TD [(se)-29(c)]TJ /F13 1 Tf 6.531 0 0 6.536 512.481 217.29 Tm (2)Tj 9.5 0 0 9.507 516.981 214.913 Tm (])Tj /F15 1 Tf -7.694 -1.339 TD (K)Tj 6.531 0 0 6.536 452.388 200.371 Tm (A)Tj /F13 1 Tf 9.5 0 0 9.507 456.888 202.185 Tm [([)-20(sec)]TJ 6.531 0 0 6.536 474.294 204.562 Tm -0.007 Tc (\2612)Tj 9.5 0 0 9.507 482.013 202.185 Tm (])Tj ET 0.5 w 404.45 211.098 m 523.731 211.098 l S BT /F21 1 Tf 9.5 0 0 9.507 321.106 178.354 Tm (=)Tj /F13 1 Tf 0.747 0.661 TD 0 Tc [(100)-114([)]TJ /F15 1 Tf 2.138 0 TD (rev)Tj /F13 1 Tf 1.312 0 TD (/)Tj /F15 1 Tf 0.237 0 TD (sec)Tj 6.531 0 0 6.536 375.075 187.017 Tm (2)Tj /F13 1 Tf 9.5 0 0 9.507 380.325 184.64 Tm (])Tj /F21 1 Tf 0.72 0 TD (\264)Tj /F13 1 Tf 0.822 0 TD (2)Tj 6.531 0 0 6.536 399.731 187.017 Tm (12)Tj 9.5 0 0 9.507 351.138 171.912 Tm -0.052 Tc [(2.)-76(7)]TJ /F21 1 Tf 1.457 0 TD (\264)Tj /F13 1 Tf 0.586 0 TD 0 Tc (10)Tj 6.531 0 0 6.536 381.325 174.289 Tm (6)Tj ET 328.45 180.825 m 408.513 180.825 l S BT /F21 1 Tf 9.5 0 0 9.507 410.669 178.354 Tm (=)Tj /F13 1 Tf 0.72 0 TD -0.052 Tc [(0.)29(1)-52(5)]TJ /F15 1 Tf 1.977 0 TD 0 Tc [(LSBs)-239(or)]TJ /F13 1 Tf 3.582 0 TD -0.002 Tc [(47)46(.5)]TJ /F15 1 Tf 2.03 0 TD 0 Tc [(second)-73(s)-193(o)0(f)-360(arc)]TJ /F14 1 Tf 8.85 0 0 9.5 305.52 158.28 Tm 0 0 0 1 k BX /GS2 gs EX 0.006 Tc 0.012 Tw [(To determine the value of )21(K)]TJ 5.95 0 0 6.4 416.76 156.6 Tm (A)Tj 8.85 0 0 9.5 421.08 158.28 Tm [( based on the passive components)]TJ -13.058 -1.162 TD 0.013 Tw (used to define the dynamics of the converter the following)Tj T* 0.014 Tw (should be used.)Tj /F28 1 Tf 8.994 0 0 8.972 373.8 104.04 Tm 0 g BX /GS1 gs EX 0 Tc 0 Tw ( )Tj /F27 1 Tf 0 0 TD ( )Tj /F15 1 Tf 9.493 0 0 9.471 375.799 114.009 Tm (K)Tj 6.527 0 0 6.511 384.293 112.202 Tm (A)Tj /F21 1 Tf 9.493 0 0 9.471 391.444 114.009 Tm (=)Tj /F13 1 Tf 3.22 0.661 TD -0.026 Tc [(4.)-23(0)-26(4)]TJ /F21 1 Tf 2.145 0 TD (\264)Tj /F13 1 Tf 0.586 0 TD 0 Tc (10)Tj 6.527 0 0 6.511 458.211 122.639 Tm (11)Tj 9.493 0 0 9.471 399.282 107.592 Tm (2)Tj /F15 1 Tf 6.527 0 0 6.511 404.529 109.959 Tm (n)Tj /F13 1 Tf 9.493 0 0 9.471 410.025 107.592 Tm (\267)Tj /F15 1 Tf 0.474 0 TD (R)Tj /F13 1 Tf 0.711 0 TD 0.08 Tc (6\267)Tj /F15 1 Tf 1.105 0 TD (R)Tj /F13 1 Tf 0.737 0 TD 0.106 Tc [(4\267)88(\()]TJ /F15 1 Tf 1.421 0 TD (C)Tj /F13 1 Tf 0.789 0 TD (4)Tj /F21 1 Tf 0.701 0 TD (+)Tj /F15 1 Tf 0.668 0 TD (C)Tj /F13 1 Tf 0.763 0 TD -0.026 Tc (5\))Tj ET 0.499 w 398.783 116.47 m 488.721 116.47 l S BT /F14 1 Tf 8.85 0 0 9.5 305.52 92.04 Tm 0 0 0 1 k BX /GS2 gs EX 0.006 Tc 0.018 Tw [(Where )11(n )11(=)-456(resolution of the converter.)]TJ 5.193 -1.162 TD 0.008 Tw (R4, R6 in ohms)Tj 0 -1.149 TD 0.011 Tw (C5, C4 in farads)Tj ET endstream endobj 43 0 obj <> endobj 44 0 obj <>/Font<>/ProcSet[/PDF/Text]>> endobj 45 0 obj <>stream 0 0 0 1 K 0 J 0 j 0.96 w 10 M []0 d BX /GS1 gs EX 1 i 54.48 755.52 521.04 -27.24 re S BT /F8 1 Tf 18 0 0 18 502.44 735.12 Tm 0 0 0 1 k BX /GS2 gs EX -0.01 Tc (AD2S80A)Tj /F5 1 Tf 9.5 0 0 9.5 53.04 17.16 Tm 0.026 Tc 0 Tw (REV. A)Tj 26.451 -0.038 TD 0.05 Tc (\32013\320)Tj /F12 1 Tf 8.35 0 0 9 323.52 712.68 Tm 0.008 Tc 0.012 Tw (VELOCITY ERRORS)Tj /F14 1 Tf 8.85 0 0 9.5 323.52 701.64 Tm 0.006 Tc (The signal at the INTEGRATOR OUTPUT pin relative to the)Tj 0 -1.162 TD 0.013 Tw (ANALOG GROUND pin is an analog voltage proportional to)Tj 0 -1.149 TD 0.01 Tw (the rate of change of the input angle. This signal can be used to)Tj 0 -1.162 TD 0.012 Tw (stabilize servo loops or in the place of a velocity transducer. Al-)Tj T* 0.013 Tw (though the conversion loop of the AD2S80A includes a digital)Tj 0 -1.149 TD 0.014 Tw (section there is an additional analog feedback loop around the)Tj 0 -1.162 TD (velocity signal. This ensures against flicker in the digital posi-)Tj T* 0.013 Tw (tional output in both dynamic and static states.)Tj 0 -1.579 TD (A better quality velocity signal will be achieved if the following)Tj 0 -1.149 TD 0.02 Tw (points are considered:)Tj 0 -1.579 TD 0.028 Tw (1. Protection.)Tj 1.017 -1.162 TD 0.014 Tw (The velocity signal should be buffered before use.)Tj -1.017 -1.579 TD 0.018 Tw (2. Reversion error.)Tj 5.95 0 0 6.4 396.6 561.36 Tm (1)Tj 8.85 0 0 9.5 332.52 546.6 Tm 0.012 Tw (The reversion error can be nulled by varying one supply rail)Tj 0 -1.149 TD 0.013 Tw (relative to the other.)Tj -1.017 -1.579 TD 0.012 Tw (3. Ripple and Noise.)Tj /F16 1 Tf 1.017 -1.162 TD 0.011 Tw (Noise on the input signals to the converter is the major cause of)Tj T* (noise on the velocity signal. )Tj /F14 1 Tf 11.417 0 TD (This can be reduced to a minimum)Tj -11.417 -1.149 TD 0.015 Tw (if the following precautions are taken:)Tj 0 -1.579 TD (The resolver is connected to the converter using separate)Tj 0 -1.162 TD 0.013 Tw (twisted pair cable for the sine, cosine and reference signals.)Tj 0 -1.579 TD (Care is taken to reduce the external noise wherever possible.)Tj T* (An HF filter is fltted before the Phase Sensitive Demodulator)Tj 0 -1.162 TD (\(as described in the section HF FILTER\).)Tj 0 -1.579 TD 0.012 Tw (A resolver is chosen that has low residual voltage, i.e., a small)Tj 0 -1.149 TD 0.016 Tw (signal in quadrature with the reference.)Tj 0 -1.579 TD 0.013 Tw (Components are selected to operate the AD2S80A with the)Tj 0 -1.162 TD 0.028 Tw (lowest acceptable bandwidth.)Tj 0 -1.579 TD 0.014 Tw (Feedthrough of the reference frequency should be removed by)Tj 0 -1.162 TD 0.012 Tw (a filter on the velocity signal.)Tj 0 -1.579 TD 0.011 Tw (Maintenance of the input signal voltages at 2 V rms will pre-)Tj 0 -1.149 TD 0.013 Tw (vent LSB flicker at the positional output. The analog feed-)Tj 0 -1.162 TD 0.012 Tw (back or hysteresis employed around the VCO and the)Tj T* 0.013 Tw (intergrator is a function of the input signal levels \(see section)Tj 0 -1.149 TD 0.028 Tw (\322INTEGRATOR\323\) .)Tj -1.017 -1.579 TD 0.014 Tw (Following the preceding precautions will allow the user to use)Tj 0 -1.162 TD (the velocity signal in very noisy environments, for example,)Tj T* 0.02 Tw (PWM motor drive applications. Resolver/converter error curves)Tj 0 -1.149 TD 0.018 Tw (may exhibit apparent acceleration/deceleration at a constant ve-)Tj 0 -1.162 TD 0.013 Tw (locity. This results in ripple on the velocity signal of frequency)Tj T* 0.016 Tw (twice the input rotation.)Tj 4.65 0 0 5 54 49.92 Tm (1)Tj 6.95 0 0 7.5 56.64 47.04 Tm 0.011 Tc 0.015 Tw (Reversion error, or side-to-side nonlinearity, is a result of differences in the)Tj 0.052 -1.2 TD 0.009 Tw (up and down rates of the VCO.)Tj /F12 1 Tf 8.35 0 0 9 54.48 711.6 Tm 0.008 Tc (SOURCES OF ERRORS)Tj 0 -1.213 TD 0.017 Tw (Integrator Offset)Tj /F14 1 Tf 8.85 0 0 9.5 54.48 689.64 Tm 0.006 Tc 0.016 Tw (Additional inaccuracies in the conversion of the resolver signals)Tj 0 -1.162 TD 0.01 Tw (will result from an offset at the input to the integrator as it will)Tj 0 -1.149 TD 0.011 Tw (be treated as an error signal. This error will typically be 1 arc)Tj 0 -1.162 TD 0.017 Tw (minute over the operating temperature range.)Tj 0 -1.478 TD 0.01 Tw (A description of how to adjust from zero offset is given in the)Tj 0 -1.149 TD 0.016 Tw (section \322COMPONENT SELECTION\323 and the circuit re-)Tj 0 -1.162 TD 0.011 Tw (quired is shown in Figure 1.)Tj /F12 1 Tf 8.35 0 0 9 54.48 605.64 Tm 0.008 Tc (Differential Phase Shift)Tj /F14 1 Tf 8.85 0 0 9.5 54.48 594.6 Tm 0.006 Tc 0.013 Tw (Phase shift between the sine and cosine signals from the resolver)Tj 0 -1.149 TD 0.012 Tw (is known as differential phase shift and can cause static error.)Tj 0 -1.162 TD (Some differential phase shift will be present on all resolvers as a)Tj T* 0.016 Tw (result of coupling. A small resolver residual voltage \(quadrature)Tj 0 -1.149 TD -0.014 Tc 0.017 Tw (voltage\) indicates a small differential phase shift. Additional phase)Tj 0 -1.162 TD 0.006 Tc 0.011 Tw (shift can be introduced if the sine channel wires and the cosine)Tj T* -0.014 Tc 0.017 Tw (channel wires are treated differently. For instance, different cable)Tj 0 -1.149 TD 0.006 Tc 0.014 Tw [(l)20(e)13(ngths or different loads could cause differential phase shift .)]TJ 0 -1.478 TD 0.013 Tw (The additional error caused by differential phase shift on the in-)Tj 0 -1.162 TD 0.018 Tw (put signals approximates to)Tj /F16 1 Tf 7.905 -1.364 TD 0.008 Tw (Error = 0.53 a )Tj /F21 1 Tf 8.85 0 1.859 9.5 181.2 479.64 Tm (\264)Tj /F16 1 Tf 8.85 0 0 9.5 186.12 479.64 Tm [( b arc minutes)]TJ /F14 1 Tf -14.875 -1.364 TD 0.018 Tw [(where)-638(a)-871(=)0( differential phase shift \(degrees\).)]TJ 3.281 -1.162 TD 0.014 Tw [(b)-789(=)0( signal to reference phase shift \(degrees\).)]TJ -3.281 -1.478 TD 0.011 Tw (This error can be minimized by choosing a resolver with a small)Tj 0 -1.149 TD 0.014 Tw (residual voltage, ensuring that the sine and cosine signals are)Tj 0 -1.162 TD (handled identically and removing the reference phase shift \(see)Tj T* 0.017 Tw (section \322CONNECTING THE RESOLVER\323\). By taking these)Tj 0 -1.149 TD 0.015 Tw (precautions the extra error can be made insignificant.)Tj 0 -1.579 TD 0.016 Tw (Under static operating conditions phase shift between the refer-)Tj 0 -1.162 TD 0.013 Tw (ence and the signal lines alone will not theoretically affect the)Tj T* 0.027 Tw (converter\325s static accuracy.)Tj 0 -1.579 TD 0.014 Tw (However, most resolvers exhibit a phase shift between the signal)Tj 0 -1.149 TD 0.012 Tw (and the reference. This phase shift will give rise under dynamic)Tj 0 -1.162 TD 0.015 Tw (conditions to an additional error defined by:)Tj /F27 1 Tf 8.998 0 0 8.975 96.24 284.16 Tm 0 g BX /GS1 gs EX 0 Tc 0 Tw ( )Tj /F28 1 Tf 0 0 TD ( )Tj /F15 1 Tf 9.498 0 0 9.474 99.239 303.762 Tm [(Shaft)-403(Speed)]TJ /F13 1 Tf 5.24 0 TD (\()Tj /F15 1 Tf 0.421 0 TD (rps)Tj /F13 1 Tf 1.25 0 TD (\))Tj /F21 1 Tf 0.563 0 TD (\264)Tj /F15 1 Tf 0.849 0 TD [(Phase)-411(Shift)]TJ /F13 1 Tf 5.026 0 TD (\()Tj /F15 1 Tf 0.421 0 TD (Degrees)Tj /F13 1 Tf 3.194 0 TD (\))Tj /F15 1 Tf -12.503 -1.717 TD [(Reference)-339(Frequency)]TJ ET 0 G 0.499 w 98.24 299.586 m 263.857 299.586 l S BT /F14 1 Tf 8.85 0 0 9.5 54.48 269.88 Tm 0 0 0 1 k BX /GS2 gs EX 0.006 Tc 0.011 Tw (For example, for a phase shift of 20 degrees, a shaft rotation of)Tj 0 -1.162 TD 0.01 Tw (22 rps and a reference frequency of 5 kHz, the converter will ex-)Tj T* 0.013 Tw (hibit an additional error of:)Tj /F27 1 Tf 9 0 0 9 134.28 210.48 Tm 0 g BX /GS1 gs EX 0 Tc 0 Tw ( )Tj /F28 1 Tf 0 0 TD ( )Tj /F13 1 Tf 9.5 0 0 9.5 136.78 228.136 Tm (22)Tj /F21 1 Tf 1.273 0 TD (\264)Tj /F13 1 Tf 0.743 0 TD (20)Tj -1.563 -1.717 TD (5000)Tj ET 0.5 w 136.28 223.949 m 167.186 223.949 l S BT 9.5 0 0 9.5 169.686 221.48 Tm [(0)26(.)-76(088)]TJ /F15 1 Tf 2.789 0 TD (Degrees)Tj /F14 1 Tf 8.85 0 0 9.5 54.48 196.44 Tm 0 0 0 1 k BX /GS2 gs EX 0.006 Tc 0.011 Tw (This effect can be eliminated by placing a phase shift in the ref-)Tj 0 -1.162 TD (erence to the converter equivalent to the phase shift in the re-)Tj 0 -1.149 TD 0.018 Tw (solver \(see section \322CONNECTING THE RESOLVER\323\).)Tj /F16 1 Tf 0 -1.579 TD [(Note:)-501(Capacitive and inductive crosstalk in the signal and reference)]TJ 0 -1.162 TD 0.014 Tw (leads and wiring can cause similar problems.)Tj ET endstream endobj 46 0 obj <> endobj 47 0 obj <>/Font<>/ProcSet[/PDF/Text]>> endobj 48 0 obj <>stream BT /F8 1 Tf 18 0 0 18 36 735.24 Tm 0 0 0 1 k BX /GS2 gs EX -0.01 Tc (AD2S80A)Tj /F5 1 Tf 9.5 0 0 9.5 526.08 18.48 Tm 0.026 Tc 0 Tw (REV. A)Tj -25.213 -0.013 TD 0.05 Tc (\32014\320)Tj ET 1 g 0 G 0 J 0 j 0.96 w 4 M []0 d BX /GS1 gs EX 1 i 373.695 388.062 m 360.929 388.062 l 360.929 375.294 l 373.81 375.294 l B* 373.695 366.63 m 360.929 366.63 l 360.929 353.862 l 373.81 353.862 l B* 373.695 345.198 m 360.929 345.198 l 360.929 332.43 l 373.81 332.43 l B* 373.695 323.766 m 360.929 323.766 l 360.929 310.998 l 373.81 310.998 l B* 373.695 302.334 m 360.929 302.334 l 360.929 289.566 l 373.81 289.566 l B* 373.695 280.902 m 360.929 280.902 l 360.929 268.134 l 373.81 268.134 l B* 373.695 259.47 m 360.929 259.47 l 360.929 246.702 l 373.81 246.702 l B* 447.559 301.878 m 460.326 301.878 l 460.326 289.11 l 447.445 289.11 l S 2 J 0.72 w 360.467 381.912 m 317.494 381.912 l 332.198 344.178 m 347.244 344.178 l 347.244 382.026 m 347.244 309.294 l S 0.48 w 347.124 309.414 m 360.233 296.988 l 360.233 296.76 m 346.327 284.79 l S 0.72 w 346.447 284.556 m 346.447 234.054 l S 0.48 w 360.461 275.214 m 327.063 281.028 l 360.347 274.986 m 327.063 264.612 l 360.233 253.554 m 327.633 246.258 l S 0.72 w 327.183 281.022 m 327.183 288.432 l 327.183 264.378 m 327.183 254.688 l 326.955 254.688 m 317.494 254.688 l 327.297 246.138 m 317.266 246.138 l 300.738 254.574 m 312.706 254.574 l 300.852 246.138 m 312.706 246.138 l S 0.48 w 312.7 254.694 m 317.032 246.144 l 312.814 246.258 m 317.146 254.808 l S 0.72 w 283.867 254.574 m 295.836 254.574 l 283.981 246.138 m 295.836 246.138 l S 0.48 w 295.83 254.694 m 300.162 246.144 l 295.944 246.258 m 300.276 254.808 l S 0.72 w 266.997 254.574 m 278.966 254.574 l 267.111 246.138 m 278.966 246.138 l S 0.48 w 278.96 254.694 m 283.292 246.144 l 279.074 246.258 m 283.405 254.808 l S 0 J 310.091 250.578 m 310.091 245.325 308.469 241.002 306.5 241.002 c 304.53 241.002 302.909 245.325 302.909 250.578 c 302.909 255.831 304.53 260.154 306.5 260.154 c 308.469 260.154 310.091 255.831 310.091 250.578 c s 2 J 0.72 w 306.323 240.894 m 306.323 234.624 l S 0 g 0 J 308.039 240.717 m 308.039 239.873 307.344 239.178 306.5 239.178 c 305.656 239.178 304.961 239.873 304.961 240.717 c 304.961 241.561 305.656 242.256 306.5 242.256 c 307.344 242.256 308.039 241.561 308.039 240.717 c b* 308.039 259.527 m 308.039 258.683 307.344 257.988 306.5 257.988 c 305.656 257.988 304.961 258.683 304.961 259.527 c 304.961 260.371 305.656 261.066 306.5 261.066 c 307.344 261.066 308.039 260.371 308.039 259.527 c b* 2 J 306.323 260.502 m 306.323 281.478 l S [9.983 3.993 ]0 d 306.329 240.774 m 265.383 240.72 l 306.329 259.584 m 264.904 259.56 l S 0.48 w []0 d 306.317 234.516 m 327.405 221.862 l 346.099 233.832 m 327.519 221.862 l S 0.72 w 360.239 317.73 m 327.297 317.73 l 327.183 297.21 m 327.183 317.73 l S 0 J 329.468 221.907 m 329.468 221.063 328.774 220.368 327.93 220.368 c 327.085 220.368 326.391 221.063 326.391 221.907 c 326.391 222.751 327.085 223.446 327.93 223.446 c 328.774 223.446 329.468 222.751 329.468 221.907 c b* 2 J 317.494 296.982 m 327.183 296.982 l 317.266 288.432 m 327.069 288.432 l 300.738 296.868 m 312.706 296.868 l 300.852 288.432 m 312.706 288.432 l S 0.48 w 312.7 296.988 m 317.032 288.438 l 312.814 288.552 m 317.146 297.102 l S 0.72 w 283.867 296.868 m 295.836 296.868 l 283.981 288.432 m 295.836 288.432 l S 0.48 w 295.83 296.988 m 300.162 288.438 l 295.944 288.552 m 300.276 297.102 l S 0.72 w 266.997 296.868 m 278.966 296.868 l 267.111 288.432 m 278.966 288.432 l S 0.48 w 278.96 296.988 m 283.292 288.438 l 279.074 288.552 m 283.405 297.102 l S 0 J 310.091 292.872 m 310.091 287.619 308.469 283.296 306.5 283.296 c 304.53 283.296 302.909 287.619 302.909 292.872 c 302.909 298.125 304.53 302.448 306.5 302.448 c 308.469 302.448 310.091 298.125 310.091 292.872 c s 2 J 0.72 w 306.323 283.188 m 306.323 263.58 l S 0 J 308.039 283.011 m 308.039 282.167 307.344 281.472 306.5 281.472 c 305.656 281.472 304.961 282.167 304.961 283.011 c 304.961 283.855 305.656 284.55 306.5 284.55 c 307.344 284.55 308.039 283.855 308.039 283.011 c b* 308.039 301.821 m 308.039 300.977 307.344 300.282 306.5 300.282 c 305.656 300.282 304.961 300.977 304.961 301.821 c 304.961 302.665 305.656 303.36 306.5 303.36 c 307.344 303.36 308.039 302.665 308.039 301.821 c b* 2 J 306.323 302.796 m 306.323 367.776 l S [9.983 3.993 ]0 d 306.329 283.068 m 265.264 283.2 l 306.329 301.878 m 265.144 301.92 l S []0 d 300.738 381.798 m 312.706 381.798 l 300.852 373.362 m 312.706 373.362 l S 0.48 w 312.7 381.918 m 331.964 344.184 l 312.814 373.482 m 317.146 382.032 l S 0.72 w 283.867 381.798 m 295.836 381.798 l 283.981 373.362 m 295.836 373.362 l S 0.48 w 295.83 381.918 m 300.162 373.368 l 295.944 373.482 m 300.276 382.032 l S 0.72 w 266.997 381.798 m 278.966 381.798 l 267.111 373.362 m 278.966 373.362 l S 0.48 w 278.96 381.918 m 283.292 373.368 l 279.074 373.482 m 283.405 382.032 l S 0 J 310.091 377.802 m 310.091 372.549 308.469 368.226 306.5 368.226 c 304.53 368.226 302.909 372.549 302.909 377.802 c 302.909 383.055 304.53 387.378 306.5 387.378 c 308.469 387.378 310.091 383.055 310.091 377.802 c s 0.72 w 308.039 368.169 m 308.039 367.325 307.344 366.63 306.5 366.63 c 305.656 366.63 304.961 367.325 304.961 368.169 c 304.961 369.013 305.656 369.708 306.5 369.708 c 307.344 369.708 308.039 369.013 308.039 368.169 c b* 308.039 386.751 m 308.039 385.907 307.344 385.212 306.5 385.212 c 305.656 385.212 304.961 385.907 304.961 386.751 c 304.961 387.595 305.656 388.29 306.5 388.29 c 307.344 388.29 308.039 387.595 308.039 386.751 c b* 2 J [9.983 3.993 ]0 d 306.329 367.998 m 265.503 368.04 l 306.329 386.808 m 266.463 386.76 l S 0 J []0 d 348.96 344.001 m 348.96 343.157 348.266 342.462 347.421 342.462 c 346.577 342.462 345.883 343.157 345.883 344.001 c 345.883 344.845 346.577 345.54 347.421 345.54 c 348.266 345.54 348.96 344.845 348.96 344.001 c b* 2 J 325.359 418.848 m 325.359 357.288 l 325.473 418.848 m 348.498 418.848 l 348.612 408.36 m 330.26 408.36 l 330.146 408.36 m 330.146 381.912 l S 0 J 331.862 381.735 m 331.862 380.891 331.167 380.196 330.323 380.196 c 329.479 380.196 328.784 380.891 328.784 381.735 c 328.784 382.579 329.479 383.274 330.323 383.274 c 331.167 383.274 331.862 382.579 331.862 381.735 c b* 348.96 381.735 m 348.96 380.891 348.266 380.196 347.421 380.196 c 346.577 380.196 345.883 380.891 345.883 381.735 c 345.883 382.579 346.577 383.274 347.421 383.274 c 348.266 383.274 348.96 382.579 348.96 381.735 c b* q 116.52 423.96 360.96 -228 re W n 1 g 0.96 w 348.618 424.2 56.31 -22.914 re B* Q 2 J 234.967 381.798 m 222.998 381.798 l 234.853 373.362 m 222.998 373.362 l S 0.48 w 222.764 381.918 m 215.811 368.124 l 222.65 373.482 m 215.811 386.82 l S 0.72 w 251.837 381.798 m 239.868 381.798 l 251.723 373.362 m 239.868 373.362 l S 0.48 w 239.634 381.918 m 235.303 373.368 l 239.52 373.482 m 235.189 382.032 l S 0.72 w [9.983 3.993 ]0 d 255.833 367.998 m 217.647 367.998 l 255.833 387.036 m 218.787 387.036 l S []0 d 215.703 387.156 m 119.84 387.156 l 215.703 368.004 m 138.534 368.004 l S 1 g 0 J 262.75 387.948 m 257.734 377.688 l 262.522 377.688 l 259.102 366.972 l B* 2 J 138.42 368.004 m 138.42 259.704 l 119.84 386.928 m 119.84 241.008 l 234.739 296.754 m 222.77 296.754 l 234.625 288.318 m 222.77 288.318 l S 0.48 w 222.536 296.874 m 215.583 283.08 l 222.422 288.438 m 215.355 302.232 l S 0.72 w 251.609 296.754 m 239.64 296.754 l 251.495 288.318 m 239.64 288.318 l S 0.48 w 239.406 296.874 m 235.075 288.324 l 239.292 288.438 m 234.961 296.988 l S 0.72 w [9.983 3.993 ]0 d 255.605 282.954 m 217.419 282.954 l 255.605 301.992 m 218.559 301.992 l S []0 d 164.637 302.112 m 215.247 302.112 l 215.475 283.074 m 183.103 283.074 l S 0 J 262.522 302.904 m 257.506 292.644 l 262.294 292.644 l 258.874 281.928 l B* 2 J 234.739 254.574 m 222.77 254.574 l 234.625 246.138 m 222.77 246.138 l S 0.48 w 222.536 254.694 m 215.583 241.014 l 222.422 246.258 m 215.583 259.596 l S 0.72 w 251.609 254.574 m 239.64 254.574 l 251.495 246.138 m 239.64 246.138 l S 0.48 w 239.406 254.694 m 235.075 246.144 l 239.292 246.258 m 234.961 254.808 l S 0.72 w [9.983 3.993 ]0 d 255.605 240.774 m 217.419 240.774 l 255.605 259.584 m 218.559 259.584 l S []0 d 186.978 259.59 m 215.589 259.59 l 215.475 241.008 m 186.978 241.008 l S 0 J 262.522 260.724 m 257.506 250.464 l 262.294 250.464 l 258.874 239.748 l B* 2 J 327.753 221.856 m 475.936 221.856 l 476.05 295.158 m 476.05 221.856 l 460.776 295.272 m 476.05 295.272 l S 186.519 255.24 m 185.466 255.263 184.614 256.366 184.633 257.682 c 184.651 258.984 185.511 260.04 186.552 260.04 c 186.552 258.84 186.552 258.84 186.552 257.64 c 186.519 255.24 l f* 0 J 187.464 254.88 m 185.95 254.902 184.726 255.949 184.753 257.2 c 184.779 258.436 186.015 259.44 187.512 259.44 c S 186.519 250.44 m 185.466 250.463 184.614 251.566 184.633 252.882 c 184.651 254.184 185.511 255.24 186.552 255.24 c 186.552 254.04 186.552 254.04 186.552 252.84 c 186.519 250.44 l f* 187.464 250.32 m 185.95 250.342 184.726 251.389 184.753 252.64 c 184.779 253.876 186.015 254.88 187.512 254.88 c S 186.519 245.64 m 185.466 245.663 184.614 246.766 184.633 248.082 c 184.651 249.384 185.511 250.44 186.552 250.44 c 186.552 249.24 186.552 249.24 186.552 248.04 c 186.519 245.64 l f* 187.464 245.52 m 185.95 245.542 184.726 246.589 184.753 247.84 c 184.779 249.076 186.015 250.08 187.512 250.08 c S 186.519 241.8 m 185.466 241.819 184.614 242.7 184.633 243.754 c 184.651 244.795 185.511 245.64 186.552 245.64 c 186.552 244.68 186.552 244.68 186.552 243.72 c 186.519 241.8 l f* 187.464 240.96 m 185.95 240.982 184.726 242.029 184.753 243.28 c 184.779 244.516 186.015 245.52 187.512 245.52 c S 2 J 183.103 266.43 m 183.103 283.074 l 164.523 265.974 m 164.523 302.112 l S 182.713 266.76 m 182.713 265.18 181.629 263.88 180.313 263.88 c 179.011 263.88 177.936 265.148 177.914 266.71 c 179.113 266.735 179.113 266.735 180.313 266.76 c 182.713 266.76 l f* 0 J 183.313 266.28 m 183.313 264.766 182.229 263.52 180.913 263.52 c 179.611 263.52 178.536 264.735 178.514 266.232 c S 177.913 266.76 m 177.913 265.18 176.83 263.88 175.513 263.88 c 174.212 263.88 173.137 265.148 173.114 266.71 c 174.314 266.735 174.314 266.735 175.513 266.76 c 177.913 266.76 l f* 178.513 266.28 m 178.513 264.766 177.484 263.52 176.233 263.52 c 174.997 263.52 173.976 264.735 173.954 266.232 c S 173.114 266.76 m 173.114 265.18 172.247 263.88 171.194 263.88 c 170.153 263.88 169.293 265.148 169.274 266.71 c 170.234 266.735 170.234 266.735 171.194 266.76 c 173.114 266.76 l f* 173.954 266.28 m 173.954 264.766 172.924 263.52 171.674 263.52 c 170.437 263.52 169.416 264.735 169.395 266.232 c S 168.314 266.76 m 168.314 265.18 167.448 263.88 166.394 263.88 c 165.353 263.88 164.493 265.148 164.475 266.71 c 165.435 266.735 165.435 266.735 166.394 266.76 c 168.314 266.76 l f* 169.154 266.28 m 169.154 264.766 168.125 263.52 166.874 263.52 c 165.638 263.52 164.617 264.735 164.595 266.232 c S 159.675 260.04 m 161.255 260.04 162.555 258.957 162.555 257.64 c 162.555 256.338 161.287 255.263 159.725 255.24 c 159.7 256.44 159.7 256.44 159.675 257.64 c 159.675 260.04 l f* 160.395 259.44 m 161.909 259.44 163.155 258.411 163.155 257.16 c 163.155 255.923 161.94 254.902 160.443 254.88 c S 159.675 255.24 m 161.255 255.24 162.555 254.157 162.555 252.84 c 162.555 251.538 161.287 250.463 159.725 250.44 c 159.7 251.64 159.7 251.64 159.675 252.84 c 159.675 255.24 l f* 160.395 254.88 m 161.909 254.88 163.155 253.851 163.155 252.6 c 163.155 251.363 161.94 250.342 160.443 250.32 c S 159.675 250.44 m 161.255 250.44 162.555 249.357 162.555 248.04 c 162.555 246.738 161.287 245.663 159.725 245.64 c 159.7 246.84 159.7 246.84 159.675 248.04 c 159.675 250.44 l f* 160.395 250.08 m 161.909 250.08 163.155 249.051 163.155 247.8 c 163.155 246.563 161.94 245.542 160.443 245.52 c S 159.675 245.64 m 161.255 245.64 162.555 244.773 162.555 243.72 c 162.555 242.679 161.287 241.818 159.725 241.8 c 159.7 242.76 159.7 242.76 159.675 243.72 c 159.675 245.64 l f* 160.395 245.52 m 161.909 245.52 163.155 244.491 163.155 243.24 c 163.155 242.003 161.94 240.982 160.443 240.96 c S 2 J 160.305 259.59 m 138.42 259.59 l 160.419 241.008 m 119.954 241.008 l S 0 J 197.927 259.47 m 197.927 258.469 197.104 257.646 196.103 257.646 c 195.103 257.646 194.279 258.469 194.279 259.47 c 194.279 260.47 195.103 261.294 196.103 261.294 c 197.104 261.294 197.927 260.47 197.927 259.47 c b* 197.927 240.774 m 197.927 239.773 197.104 238.95 196.103 238.95 c 195.103 238.95 194.279 239.773 194.279 240.774 c 194.279 241.774 195.103 242.598 196.103 242.598 c 197.104 242.598 197.927 241.774 197.927 240.774 c b* 153.928 259.584 m 153.928 258.583 153.104 257.76 152.104 257.76 c 151.104 257.76 150.28 258.583 150.28 259.584 c 150.28 260.585 151.104 261.408 152.104 261.408 c 153.104 261.408 153.928 260.585 153.928 259.584 c b* 153.928 240.888 m 153.928 239.887 153.104 239.064 152.104 239.064 c 151.104 239.064 150.28 239.887 150.28 240.888 c 150.28 241.889 151.104 242.712 152.104 242.712 c 153.104 242.712 153.928 241.889 153.928 240.888 c b* 185.046 274.974 m 185.046 273.973 184.223 273.15 183.223 273.15 c 182.222 273.15 181.399 273.973 181.399 274.974 c 181.399 275.974 182.222 276.798 183.223 276.798 c 184.223 276.798 185.046 275.974 185.046 274.974 c b* 166.466 274.974 m 166.466 273.973 165.643 273.15 164.643 273.15 c 163.642 273.15 162.819 273.973 162.819 274.974 c 162.819 275.974 163.642 276.798 164.643 276.798 c 165.643 276.798 166.466 275.974 166.466 274.974 c b* 0 g 327.075 357.225 m 327.075 356.381 326.38 355.686 325.536 355.686 c 324.692 355.686 323.997 356.381 323.997 357.225 c 323.997 358.069 324.692 358.764 325.536 358.764 c 326.38 358.764 327.075 358.069 327.075 357.225 c b* BT /F19 1 Tf 5.759 0 0 5.76 358.733 413.88 Tm 0 Tc (OSCILLATOR)Tj -0.458 -1.083 TD (\(e.g., OSC1758\))Tj ET 1 g 1 G 337.633 383.974 2.473 -3.994 re B* 0 G 2 J 337.376 385.53 m 337.376 378.21 l 340.255 385.53 m 340.255 378.21 l S BT 5.759 0 0 5.76 334.976 388.2 Tm 0 g (C3)Tj ET 1 g 1 G 0 J 345.975 369.221 m 348.563 368.089 l 348.563 359.139 l 345.975 360.157 l 345.975 369.221 l b* 0 G 347.399 369.525 m 349.275 368.667 l 345.31 366.867 l 349.299 365.011 l 345.31 363.183 l 349.275 361.411 l 345.31 359.583 l 347.328 358.725 l S BT 5.759 0 0 5.76 336.656 362.28 Tm 0 g (R3)Tj -28.792 -4.917 TD (TWISTED PAIR SCREENED CABLE)Tj -2.167 -17.667 TD (RESOLVER)Tj -0.708 7.542 TD [(S2)-4360(S4)]TJ 7.542 -2.25 TD (S3)Tj 0 -3.208 TD (S1)Tj -9.417 3.208 TD (R1)Tj 0 -3.208 TD (R2)Tj 38.667 23.5 TD (1)Tj -0.042 -3.75 TD (2)Tj 0.042 -3.667 TD (3)Tj -0.042 -3.75 TD (4)Tj T* (5)Tj 0.042 -3.667 TD (6)Tj -0.042 -3.75 TD (7)Tj 14.75 7.375 TD (31)Tj ET 249.185 367.56 m 248.185 361.887 l 244.773 363.857 l 249.185 367.56 l f* 2 J 0.48 w 246.509 362.855 m 234.307 341.4 l S 250.865 302.28 m 246.453 305.982 l 249.865 307.952 l 250.865 302.28 l f* 248.121 306.945 m 234.067 330.84 l S 250.865 260.28 m 247.561 264.998 l 251.367 266.018 l 250.865 260.28 l f* 232.147 330.84 m 249.477 265.512 l S 1 g 0 J 0.96 w 373.81 396.384 73.408 -156.864 re B* BT 7.679 0 0 7.68 392.569 345.96 Tm 0 g 0.001 Tc (AD2S80A)Tj 5.759 0 0 5.76 376.731 379.56 Tm 0 Tc (REF I/P)Tj 0.042 -11.125 TD (COS I/P)Tj 0 -3.208 TD (ANALOG)Tj 0 -1.083 TD (GND)Tj 7.667 1.083 TD (DIGITAL)Tj 1.75 -1.083 TD (GND)Tj -9.417 -2.708 TD (SIGNAL)Tj 0 -1.083 TD (GND)Tj 0 -3.167 TD (SIN I/P)Tj ET 0.72 w 462.468 295.281 m 462.468 294.437 461.773 293.742 460.929 293.742 c 460.085 293.742 459.39 294.437 459.39 295.281 c 459.39 296.125 460.085 296.82 460.929 296.82 c 461.773 296.82 462.468 296.125 462.468 295.281 c b* BT 5.759 0 0 5.76 311.218 212.76 Tm (POWER)Tj 0 -1.25 TD (RETURN)Tj /F5 1 Tf 9 0 1.913 9 191.88 185.64 Tm 0 0 0 1 k BX /GS2 gs EX 0.008 Tc 0.001 Tw [(Figure 7.)-494(Connecting the AD2S80A to a Resolver)]TJ /F12 1 Tf 8.35 0 0 9 36.48 712.68 Tm 0.012 Tw (CONNECTING THE RESOLVER)Tj /F14 1 Tf 8.85 0 0 9.5 36.48 701.64 Tm 0.006 Tc 0.013 Tw (The recommended connection circuit is shown in Figure 7.)Tj 0 -1.579 TD 0.012 Tw (In cases where the reference phase relative to the input signals)Tj 0 -1.162 TD 0.014 Tw (from the resolver requires adjustment, this can be easily)Tj 0 -1.149 TD 0.01 Tw (achieved by varying the value of the resistor R2 of the HF filter)Tj 0 -1.162 TD 0.013 Tw (\(see Figure 1\).)Tj 0 -1.579 TD 0.007 Tw (Assuming that R1 = R2 = R and C1 = C2 = C)Tj 0 -2.829 TD 0.013 Tw (and Reference Frequency = )Tj /F27 1 Tf 8.989 0 0 9.012 147.48 602.04 Tm 0 g BX /GS1 gs EX 0 Tc 0 Tw ( )Tj /F28 1 Tf 0 0 TD ( )Tj /F13 1 Tf 9.489 0 0 9.513 162.337 621.723 Tm (1)Tj -1.303 -1.401 TD (2)Tj /F21 1 Tf 0.78 0 TD (p)Tj /F15 1 Tf 0.845 0 TD (RC)Tj ET 0.5 w 10 M 149.478 617.53 m 180.223 617.53 l S BT /F14 1 Tf 8.85 0 0 9.5 36.48 587.88 Tm 0 0 0 1 k BX /GS2 gs EX 0.006 Tc 0.011 Tw (by altering the value of R2, the phase of the reference relative to)Tj 0 -1.162 TD 0.014 Tw (the input signals will change in an approximately linear manner)Tj T* 0.01 Tw (for phase shifts of up to 10 degrees.)Tj 0 -1.579 TD 0.011 Tw [(Increasing R2 by 10)6(% )14(introduces a phase lag of 2 degrees. De-)]TJ 0 -1.149 TD (creasing R2 by 10% introduces a phase lead of 2 degrees.)Tj ET 2 J 0.72 w 4 M BX /GS1 gs EX 124.372 504.52 m 124.372 479.32 l S 1 g 1 G 0 J 123.193 498.141 m 125.781 497.009 l 125.781 488.059 l 123.192 489.077 l 123.193 498.141 l b* 0 G 124.617 498.445 m 126.494 497.587 l 122.527 495.787 l 126.518 493.931 l 122.527 492.103 l 126.494 490.331 l 122.527 488.503 l 124.546 487.645 l S 120.656 483.04 m 128.638 483.04 l 124.617 475.78 l 120.656 483.04 l b* 2 J 134.934 505.72 m 91.486 505.72 l S 1 G 0 J 107.826 507.374 2.473 -3.994 re B* 0 G 2 J 107.569 508.93 m 107.569 501.61 l 110.449 508.93 m 110.449 501.61 l S 0 J 93.046 505.48 m 93.046 504.493 92.233 503.68 91.245 503.68 c 90.258 503.68 89.445 504.493 89.445 505.48 c 89.445 506.467 90.258 507.28 91.245 507.28 c 92.233 507.28 93.046 506.467 93.046 505.48 c b* 138.415 505.48 m 138.415 504.493 137.602 503.68 136.615 503.68 c 135.627 503.68 134.814 504.493 134.814 505.48 c 134.814 506.467 135.627 507.28 136.615 507.28 c 137.602 507.28 138.415 506.467 138.415 505.48 c b* 0 0 0.067 rg 0 0 0.067 RG 126.053 505.6 m 126.053 504.744 125.348 504.04 124.492 504.04 c 123.636 504.04 122.932 504.744 122.932 505.6 c 122.932 506.456 123.636 507.16 124.492 507.16 c 125.348 507.16 126.053 506.456 126.053 505.6 c b* 0 G 2 J 229.994 504.52 m 229.994 479.32 l S 1 g 0 J 226.278 483.04 m 234.259 483.04 l 230.238 475.78 l 226.278 483.04 l b* 2 J 240.556 505.72 m 197.107 505.72 l S 0 J 198.667 505.48 m 198.667 504.493 197.854 503.68 196.867 503.68 c 195.879 503.68 195.067 504.493 195.067 505.48 c 195.067 506.467 195.879 507.28 196.867 507.28 c 197.854 507.28 198.667 506.467 198.667 505.48 c b* 244.036 505.48 m 244.036 504.493 243.224 503.68 242.236 503.68 c 241.249 503.68 240.436 504.493 240.436 505.48 c 240.436 506.467 241.249 507.28 242.236 507.28 c 243.224 507.28 244.036 506.467 244.036 505.48 c b* 0 0 0.067 rg 0 0 0.067 RG 231.674 505.6 m 231.674 504.744 230.97 504.04 230.114 504.04 c 229.258 504.04 228.553 504.744 228.553 505.6 c 228.553 506.456 229.258 507.16 230.114 507.16 c 230.97 507.16 231.674 506.456 231.674 505.6 c b* 1 g 1 G 228.733 494.963 3.995 -2.473 re B* 0 G 2 J 234.045 495.22 m 226.723 495.22 l 234.045 492.34 m 226.723 492.34 l S 1 G 0 J 208.991 504.006 m 210.118 506.672 l 219.019 506.672 l 218.007 504.005 l 208.991 504.006 l b* 0 G 208.689 505.473 m 209.543 507.406 l 211.334 503.32 l 213.179 507.43 l 214.997 503.32 l 216.76 507.406 l 218.578 503.32 l 219.432 505.399 l S BT /F19 1 Tf 5.761 0 0 5.76 107.089 493.84 Tm 0 g (C)Tj 3.792 -0.542 TD (R)Tj 14.458 0.958 TD (R)Tj 4.125 -0.792 TD (C)Tj -29.083 4.875 TD 0 Tc 0 Tw (PHASE LEAD = ARC TAN)Tj 13.75 0.792 TD (1)Tj -1.167 -1.625 TD (2)Tj /F21 1 Tf 0.556 0 TD (p)Tj /F19 1 Tf 0.549 0 TD (fRC)Tj ET 2 J 140.215 522.28 m 157.739 522.28 l S BT 5.761 0 0 5.76 175.503 519.76 Tm (PHASE LAG = ARC TAN 2)Tj /F21 1 Tf 12.305 0 TD (p)Tj /F19 1 Tf 0.549 0 TD (fRC)Tj ET q 64.2 530.16 197.04 -63 re W n 1 g 1 G 0 J 69.761 530.32 188.438 -0.72 re B* Q BT /F5 1 Tf 9 0 1.913 9 120.84 447.84 Tm 0 0 0 1 k BX /GS2 gs EX 0.008 Tc (Phase Shift Circuits)Tj /F12 1 Tf 8.35 0 0 9 305.04 712.68 Tm 0.015 Tw (TYPICAL CIRCUIT CONFIGURATION)Tj /F14 1 Tf 8.85 0 0 9.5 305.04 701.64 Tm 0.006 Tc (Figure 8 shows a typical circuit)Tj /F16 1 Tf 13.736 0 TD ( )Tj /F14 1 Tf 0.298 0 TD (configuration for the AD2S80A)Tj -14.034 -1.162 TD (in a 12-bit resolution mode. Values of the external components)Tj 0 -1.149 TD 0.01 Tw (have been chosen for a reference frequency of 5 kHz and a)Tj 0 -1.162 TD 0.011 Tw (maximum tracking rate of 260 rps with a bandwidth of 520 Hz.)Tj T* 0.01 Tw (Placing the values for R4, R6, C4 and C5 in the equation for K)Tj 5.95 0 0 6.4 552.48 656.04 Tm (A)Tj 8.85 0 0 9.5 305.04 646.68 Tm (gives a value of 2.7 )Tj /F21 1 Tf 8.651 0 TD (\264)Tj /F14 1 Tf 0.556 0 TD [( 10)]TJ 5.95 0 0 6.4 399 650.4 Tm (6)Tj 8.85 0 0 9.5 402.24 646.68 Tm (. The resistors are 0.125 W, 5% toler-)Tj -10.983 -1.162 TD 0.013 Tw (ance preferred values. The capacitors are 100 V ceramic, 10%)Tj T* 0.042 Tw (tolerance components.)Tj 0 -1.579 TD 0.011 Tw (For signal and reference voltages greater than 2 V rms a simple)Tj 0 -1.149 TD 0.012 Tw (voltage divider circuit of resistors can be used to generate the)Tj 0 -1.162 TD 0.011 Tw (correct signal level at the converter. Care should be taken to en-)Tj T* 0.012 Tw (sure that the ratios of the resistors between the sine signal line)Tj 0 -1.149 TD 0.011 Tw (and ground and the cosine signal line and ground are the same.)Tj 0 -1.162 TD 0.015 Tw (Any difference will result in an additional position error.)Tj 0 -1.579 TD 0.012 Tw (For more information on resistive scaling of SIN, COS and)Tj 0 -1.162 TD 0.016 Tw (REFERENCE converter inputs refer to the application note,)Tj 0 -1.149 TD 0.018 Tw (\322Circuit Applications of the 2S81 and 2S81 Resolver-to-Digital)Tj 0 -1.162 TD 0.008 Tc (Converters.\323)Tj /F12 1 Tf 8.35 0 0 9 305.04 484.68 Tm (RELIABILITY)Tj /F14 1 Tf 8.85 0 0 9.5 305.04 473.64 Tm 0.006 Tc 0.013 Tw (The AD2S80A Mean Time Between Failures \(MTBF\) has been)Tj T* 0.016 Tw (calculated according to MIL-HDBK-217E, Figure 10 shows the)Tj 0 -1.149 TD 0.013 Tw (MTBF in hours in naval sheltered conditions for AD2S80A/)Tj 0 -1.162 TD 0.018 Tw (883B only.)Tj ET endstream endobj 49 0 obj <> endobj 50 0 obj <>/Font<>/ProcSet[/PDF/Text]>> endobj 51 0 obj <>stream 0 0 0 1 K 0 J 0 j 0.96 w 10 M []0 d BX /GS1 gs EX 1 i 54.48 755.52 521.04 -27.24 re S BT /F8 1 Tf 18 0 0 18 502.44 735.12 Tm 0 0 0 1 k BX /GS2 gs EX -0.01 Tc (AD2S80A)Tj /F5 1 Tf 9.5 0 0 9.5 53.04 17.16 Tm 0.026 Tc 0 Tw (REV. A)Tj 26.451 -0.038 TD 0.05 Tc (\32015\320)Tj ET 0 G 2 J 0.72 w 4 M BX /GS1 gs EX 300.884 711.152 m 327.401 711.152 l S 0 g 0 J 0.24 w 319.722 705.091 m 318.612 708.691 l 318.072 708.691 l 316.992 705.091 l 319.722 705.091 l b* 2 J 0.72 w 318.252 707.341 m 318.252 702.121 l S BT /F19 1 Tf 5.76 0 0 5.761 299.661 489.255 Tm 0 Tc (13)Tj ET 0 J 0.48 w 297.862 507.737 64.315 -9.601 re S BT 5.76 0 0 5.761 354.137 512.297 Tm (30)Tj -9.167 20.375 TD (1)Tj -0.042 -2 TD (2)Tj 9.167 1.958 TD (40)Tj 0.042 -1.958 TD (39)Tj ET 297.862 624.87 64.315 -9.601 re 297.862 636.391 64.315 -9.601 re S BT 5.76 0 0 5.761 301.341 582.385 Tm (5)Tj 0.042 -2 TD (6)Tj -0.042 -2 TD (7)Tj 9.167 4.042 TD (36)Tj 0 -2 TD (35)Tj T* (34)Tj ET 297.862 577.824 64.315 -9.601 re 297.862 589.346 64.315 -9.601 re 297.862 566.303 64.315 -9.601 re S BT 5.76 0 0 5.761 301.341 605.427 Tm (3)Tj 0 -1.958 TD (4)Tj 9.167 2 TD (38)Tj 0 -2 TD (37)Tj ET 297.862 600.867 64.315 -9.601 re 297.862 612.388 64.315 -9.601 re S BT 5.76 0 0 5.761 301.341 548.061 Tm 8.611 Tc [(83)8611(3)]TJ ET 297.862 554.782 64.315 -9.601 re S BT 5.76 0 0 5.761 301.341 536.54 Tm [(93)8611(2)]TJ ET 297.862 543.26 64.315 -9.601 re S BT 5.76 0 0 5.761 299.661 525.018 Tm 0 Tc [(10)-8346(31)]TJ ET 297.862 531.739 64.315 -9.601 re S BT 5.76 0 0 5.761 299.901 512.537 Tm (11)Tj ET 297.862 519.258 64.315 -9.601 re S BT 5.76 0 0 5.761 299.661 500.776 Tm [(12)-8346(29)]TJ ET 297.862 484.694 64.315 -9.601 re S BT 5.76 0 0 5.761 354.137 489.255 Tm (28)Tj ET 297.862 496.215 64.315 -9.601 re S BT 5.76 0 0 5.761 299.661 477.733 Tm [(14)-8346(27)]TJ T* (15)Tj ET 297.862 461.651 64.315 -9.601 re S BT 5.76 0 0 5.761 354.137 466.452 Tm (26)Tj ET 297.862 473.173 64.315 -9.601 re S BT 5.76 0 0 5.761 299.661 454.691 Tm (16)Tj 9.458 0.042 TD (25)Tj -9.458 -2 TD (17)Tj ET 297.862 438.609 64.315 -9.601 re S BT 5.76 0 0 5.761 354.137 443.409 Tm (24)Tj ET 297.862 450.13 64.315 -9.601 re S BT 5.76 0 0 5.761 299.661 431.888 Tm [(18)-8346(23)]TJ T* (19)Tj ET 297.862 415.566 64.315 -9.601 re S BT 5.76 0 0 5.761 354.137 419.887 Tm (22)Tj ET 297.862 427.088 64.315 -9.601 re S BT 5.76 0 0 5.761 299.661 408.846 Tm (20)Tj 9.542 -0.083 TD (21)Tj ET 1 g 307.461 643.112 45.116 -243.867 re f* 0.72 w 307.461 643.112 45.116 -243.867 re S 0 g 0.96 w 312.74 633.03 m 312.74 632.504 312.307 632.07 311.78 632.07 c 311.254 632.07 310.82 632.504 310.82 633.03 c 310.82 633.557 311.254 633.991 311.78 633.991 c 312.307 633.991 312.74 633.557 312.74 633.03 c b* BT 5.76 0 0 5.761 315.74 519.258 Tm (TOP VIEW)Tj -0.792 -1.083 TD (\(Not to Scale\))Tj ET 1 g 1 G 0.48 w 325.699 645.032 9.599 -3.84 re B* 0 G 0.72 w 335.418 643.112 m 335.418 640.413 333.252 638.191 330.619 638.191 c 327.986 638.191 325.819 640.412 325.819 643.111 c S BT 7.679 0 0 7.681 312.62 529.099 Tm 0 g 0.001 Tc (AD2S80A)Tj ET 2 J 372.135 631.71 m 362.344 631.71 l 372.135 631.95 m 372.135 657.393 l 381.015 619.949 m 362.296 619.949 l 372.375 657.393 m 407.652 657.393 l 381.255 647.792 m 522.243 647.792 l 381.255 647.552 m 381.255 619.949 l 407.652 656.913 m 407.652 607.228 l 471.487 607.948 m 362.296 607.948 l 502.924 596.186 m 362.056 596.186 l 362.296 584.185 m 522.603 584.185 l 425.891 607.228 m 425.891 647.072 l 425.891 615.148 m 452.769 615.148 l 453.009 647.312 m 453.009 615.148 l 390.134 595.946 m 390.134 647.312 l 471.727 607.948 m 471.727 666.514 l 471.727 666.754 m 318.14 666.754 l 479.406 675.395 m 479.406 507.857 l 479.406 675.875 m 192.87 675.875 l 503.164 584.185 m 503.164 711.159 l 170.312 711.159 m 502.924 711.159 l 318.14 666.754 m 318.14 706.119 l 362.296 514.337 m 372.615 514.337 l 362.056 502.816 m 372.615 502.816 l 372.615 514.337 m 372.615 502.816 l 372.855 508.577 m 497.645 508.577 l 361.816 456.491 m 440.29 456.491 l 426.611 456.491 m 426.611 508.097 l 362.056 444.73 m 397.573 444.73 l 361.816 433.208 m 389.174 433.208 l 362.296 421.447 m 380.295 421.447 l 370.575 410.886 m 362.296 410.886 l 370.455 393.604 m 287.902 393.604 l 380.295 386.403 m 287.902 386.403 l 389.174 379.202 m 287.902 379.202 l 397.693 372.002 m 288.262 372.002 l 370.575 410.886 m 370.575 393.604 l 380.295 421.207 m 380.295 386.403 l 389.414 432.968 m 389.414 379.202 l 397.813 444.61 m 397.813 372.002 l 297.502 631.59 m 162.633 631.59 l 297.502 619.949 m 234.267 619.949 l 297.502 607.468 m 234.267 607.468 l 234.147 619.829 m 234.147 607.468 l 297.622 595.826 m 162.753 595.826 l 297.622 584.545 m 192.99 584.545 l 192.87 675.875 m 192.87 584.905 l 215.668 631.47 m 215.668 675.755 l 244.946 619.949 m 244.946 675.875 l 273.984 619.949 m 273.984 675.875 l 297.622 573.024 m 162.393 573.024 l 189.391 573.024 m 189.271 561.142 l 189.391 561.022 m 162.513 561.022 l 206.069 573.144 m 206.069 549.141 l 206.069 549.021 m 162.753 549.021 l 297.742 561.503 m 225.148 561.503 l 225.148 561.503 m 225.028 536.66 l 162.753 536.66 m 225.148 536.66 l 297.622 549.861 m 242.906 549.861 l 242.906 549.861 m 242.786 524.658 l 242.906 524.418 m 162.873 524.418 l 297.622 538.34 m 288.742 538.34 l 297.622 526.939 m 288.742 526.939 l 297.502 514.337 m 288.622 514.337 l 297.502 502.936 m 288.622 502.936 l S 297.502 491.295 m 288.622 491.295 l 297.502 479.653 m 288.622 479.653 l 297.382 468.132 m 288.502 468.132 l 297.382 456.371 m 288.502 456.371 l 297.262 445.21 m 288.382 445.21 l 297.262 433.568 m 288.382 433.568 l 297.142 421.807 m 288.262 421.807 l 297.142 410.766 m 288.262 410.766 l 170.312 710.919 m 170.312 523.698 l 170.312 611.068 m 192.87 611.068 l S 0 J 171.967 524.203 m 171.967 523.36 171.274 522.667 170.432 522.667 c 169.59 522.667 168.896 523.36 168.896 524.203 c 168.896 525.045 169.59 525.739 170.432 525.739 c 171.274 525.739 171.967 525.045 171.967 524.203 c b* 207.82 572.88 m 207.82 572.038 207.127 571.344 206.285 571.344 c 205.443 571.344 204.749 572.038 204.749 572.88 c 204.749 573.723 205.443 574.416 206.285 574.416 c 207.127 574.416 207.82 573.723 207.82 572.88 c b* 191.214 572.784 m 191.214 571.942 190.521 571.248 189.678 571.248 c 188.836 571.248 188.143 571.942 188.143 572.784 c 188.143 573.627 188.836 574.32 189.678 574.32 c 190.521 574.32 191.214 573.627 191.214 572.784 c b* 194.526 610.996 m 194.526 610.154 193.832 609.46 192.99 609.46 c 192.148 609.46 191.454 610.154 191.454 610.996 c 191.454 611.839 192.148 612.532 192.99 612.532 c 193.832 612.532 194.526 611.839 194.526 610.996 c b* 172.015 610.9 m 172.015 610.058 171.322 609.364 170.48 609.364 c 169.638 609.364 168.944 610.058 168.944 610.9 c 168.944 611.743 169.638 612.436 170.48 612.436 c 171.322 612.436 172.015 611.743 172.015 610.9 c b* 428.266 456.371 m 428.266 455.529 427.573 454.835 426.73 454.835 c 425.888 454.835 425.195 455.529 425.195 456.371 c 425.195 457.214 425.888 457.907 426.73 457.907 c 427.573 457.907 428.266 457.214 428.266 456.371 c b* 275.639 675.803 m 275.639 674.961 274.946 674.267 274.103 674.267 c 273.261 674.267 272.568 674.961 272.568 675.803 c 272.568 676.646 273.261 677.339 274.103 677.339 c 274.946 677.339 275.639 676.646 275.639 675.803 c b* 217.42 675.803 m 217.42 674.961 216.726 674.267 215.884 674.267 c 215.042 674.267 214.349 674.961 214.349 675.803 c 214.349 676.646 215.042 677.339 215.884 677.339 c 216.726 677.339 217.42 676.646 217.42 675.803 c b* 246.601 675.659 m 246.601 674.817 245.908 674.124 245.066 674.124 c 244.223 674.124 243.53 674.817 243.53 675.659 c 243.53 676.502 244.223 677.195 245.066 677.195 c 245.908 677.195 246.601 676.502 246.601 675.659 c b* 275.687 619.781 m 275.687 618.939 274.994 618.245 274.151 618.245 c 273.309 618.245 272.616 618.939 272.616 619.781 c 272.616 620.624 273.309 621.317 274.151 621.317 c 274.994 621.317 275.687 620.624 275.687 619.781 c b* 246.601 619.685 m 246.601 618.843 245.908 618.149 245.066 618.149 c 244.223 618.149 243.53 618.843 243.53 619.685 c 243.53 620.528 244.223 621.221 245.066 621.221 c 245.908 621.221 246.601 620.528 246.601 619.685 c b* 217.324 631.399 m 217.324 630.556 216.63 629.863 215.788 629.863 c 214.946 629.863 214.253 630.556 214.253 631.399 c 214.253 632.241 214.946 632.935 215.788 632.935 c 216.63 632.935 217.324 632.241 217.324 631.399 c b* 427.642 614.789 m 427.642 613.946 426.949 613.253 426.106 613.253 c 425.264 613.253 424.571 613.946 424.571 614.789 c 424.571 615.631 425.264 616.325 426.106 616.325 c 426.949 616.325 427.642 615.631 427.642 614.789 c b* 427.594 607.852 m 427.594 607.009 426.901 606.316 426.059 606.316 c 425.216 606.316 424.523 607.009 424.523 607.852 c 424.523 608.694 425.216 609.388 426.059 609.388 c 426.901 609.388 427.594 608.694 427.594 607.852 c b* 427.498 647.192 m 427.498 646.35 426.805 645.656 425.962 645.656 c 425.12 645.656 424.427 646.35 424.427 647.192 c 424.427 648.035 425.12 648.728 425.962 648.728 c 426.805 648.728 427.498 648.035 427.498 647.192 c b* 409.404 607.684 m 409.404 606.841 408.71 606.148 407.868 606.148 c 407.026 606.148 406.332 606.841 406.332 607.684 c 406.332 608.526 407.026 609.22 407.868 609.22 c 408.71 609.22 409.404 608.526 409.404 607.684 c b* 391.933 647.528 m 391.933 646.686 391.24 645.992 390.397 645.992 c 389.555 645.992 388.862 646.686 388.862 647.528 c 388.862 648.371 389.555 649.064 390.397 649.064 c 391.24 649.064 391.933 648.371 391.933 647.528 c b* 428.362 508.313 m 428.362 507.47 427.669 506.777 426.826 506.777 c 425.984 506.777 425.291 507.47 425.291 508.313 c 425.291 509.155 425.984 509.849 426.826 509.849 c 427.669 509.849 428.362 509.155 428.362 508.313 c b* 504.916 595.971 m 504.916 595.128 504.222 594.435 503.38 594.435 c 502.538 594.435 501.844 595.128 501.844 595.971 c 501.844 596.813 502.538 597.507 503.38 597.507 c 504.222 597.507 504.916 596.813 504.916 595.971 c b* 481.206 596.091 m 481.206 595.248 480.512 594.555 479.67 594.555 c 478.828 594.555 478.134 595.248 478.134 596.091 c 478.134 596.933 478.828 597.627 479.67 597.627 c 480.512 597.627 481.206 596.933 481.206 596.091 c b* 454.808 647.528 m 454.808 646.686 454.115 645.992 453.272 645.992 c 452.43 645.992 451.737 646.686 451.737 647.528 c 451.737 648.371 452.43 649.064 453.272 649.064 c 454.115 649.064 454.808 648.371 454.808 647.528 c b* 504.916 583.969 m 504.916 583.127 504.222 582.433 503.38 582.433 c 502.538 582.433 501.844 583.127 501.844 583.969 c 501.844 584.812 502.538 585.505 503.38 585.505 c 504.222 585.505 504.916 584.812 504.916 583.969 c b* 480.918 508.361 m 480.918 507.518 480.224 506.825 479.382 506.825 c 478.54 506.825 477.846 507.518 477.846 508.361 c 477.846 509.203 478.54 509.897 479.382 509.897 c 480.224 509.897 480.918 509.203 480.918 508.361 c b* 1 g 1 G 322.583 712.391 m 321.451 709.803 l 312.502 709.803 l 313.52 712.392 l 322.583 712.391 l b* 0 G 322.887 710.967 m 322.029 709.09 l 320.229 713.057 l 318.373 709.066 l 316.546 713.057 l 314.774 709.09 l 312.946 713.057 l 312.088 711.038 l S 1 G 317.021 695.178 m 319.608 694.046 l 319.608 685.095 l 317.02 686.113 l 317.021 695.178 l b* 0 G 318.445 695.482 m 320.321 694.624 l 316.355 692.823 l 320.345 690.968 l 316.355 689.14 l 320.321 687.368 l 316.355 685.54 l 318.374 684.681 l S 1 G 272.864 658.214 m 275.452 657.082 l 275.452 648.131 l 272.864 649.149 l 272.864 658.214 l b* 0 G 274.288 658.518 m 276.165 657.66 l 272.199 655.859 l 276.188 654.004 l 272.199 652.176 l 276.165 650.404 l 272.199 648.576 l 274.217 647.717 l S 1 G 214.549 658.214 m 217.137 657.082 l 217.137 648.131 l 214.548 649.149 l 214.549 658.214 l b* 0 G 215.973 658.518 m 217.849 657.66 l 213.883 655.859 l 217.873 654.004 l 213.883 652.176 l 217.849 650.404 l 213.883 648.576 l 215.902 647.717 l S 1 G 202.727 633.124 2.473 -3.994 re B* 0 G 2 J 202.469 634.681 m 202.469 627.36 l 205.349 634.681 m 205.349 627.36 l S 1 G 0 J 243.446 654.316 3.994 -2.473 re B* 0 G 2 J 248.756 654.573 m 241.436 654.573 l 248.756 651.693 m 241.436 651.693 l S 1 G 0 J 179.928 612.722 2.473 -3.994 re B* 0 G 2 J 179.671 614.278 m 179.671 606.958 l 182.551 614.278 m 182.551 606.958 l S 1 G 0 J 290.186 608.7 m 289.053 606.111 l 280.105 606.111 l 281.122 608.7 l 290.186 608.7 l b* 0 G 290.49 607.275 m 289.631 605.399 l 287.831 609.365 l 285.976 605.375 l 284.149 609.365 l 282.376 605.399 l 280.549 609.365 l 279.691 607.346 l S 1 G 257.922 609.122 2.473 -3.995 re B* 0 G 2 J 257.665 610.678 m 257.665 603.357 l 260.545 610.678 m 260.545 603.357 l S 1 G 0 J 389.015 640.932 m 391.602 639.8 l 391.602 630.849 l 389.014 631.867 l 389.015 640.932 l b* 0 G 390.439 641.236 m 392.315 640.378 l 388.349 638.578 l 392.339 636.722 l 388.349 634.894 l 392.315 633.122 l 388.349 631.294 l 390.368 630.435 l S 1 G 406.533 630.371 m 409.121 629.239 l 409.121 620.288 l 406.532 621.306 l 406.533 630.371 l b* 0 G 407.957 630.675 m 409.833 629.817 l 405.867 628.016 l 409.857 626.161 l 405.867 624.333 l 409.833 622.56 l 405.867 620.733 l 407.886 619.874 l S 1 G 445.693 616.38 m 444.56 613.792 l 435.612 613.792 l 436.629 616.381 l 445.693 616.38 l b* 0 G 445.997 614.956 m 445.138 613.08 l 443.338 617.046 l 441.483 613.056 l 439.656 617.046 l 437.883 613.08 l 436.056 617.046 l 435.198 615.027 l S 1 G 421.935 597.418 m 420.802 594.83 l 411.854 594.83 l 412.871 597.419 l 421.935 597.418 l b* 0 G 422.239 595.994 m 421.38 594.117 l 419.58 598.084 l 417.725 594.094 l 415.898 598.084 l 414.125 594.117 l 412.298 598.084 l 411.44 596.065 l S 0 g 391.909 596.019 m 391.909 595.176 391.216 594.483 390.373 594.483 c 389.531 594.483 388.838 595.176 388.838 596.019 c 388.838 596.861 389.531 597.555 390.373 597.555 c 391.216 597.555 391.909 596.861 391.909 596.019 c b* 1 g 1 G 450.626 597.841 2.473 -3.994 re B* 0 G 2 J 450.369 599.397 m 450.369 592.076 l 453.248 599.397 m 453.248 592.076 l S 1 G 0 J 489.982 597.841 2.473 -3.994 re B* 0 G 2 J 489.725 599.397 m 489.725 592.076 l 492.605 599.397 m 492.605 592.076 l S 1 G 0 J 425.111 484.377 3.994 -2.473 re B* 0 G 2 J 430.42 484.634 m 423.101 484.634 l 430.42 481.754 m 423.101 481.754 l S BT 5.76 0 0 5.761 311.42 715.84 Tm 0 g 0 Tc (1M)Tj /F21 1 Tf 1.389 0 TD (W)Tj /F19 1 Tf 0.611 -4.833 TD (4.7M)Tj /F21 1 Tf 2.222 0 TD (W)Tj /F19 1 Tf -10.056 -6.375 TD (15k)Tj /F21 1 Tf 1.668 0 TD (W)Tj /F19 1 Tf -6.251 -0.083 TD (2.2nF)Tj -5.583 -0.083 TD (100k)Tj /F21 1 Tf 2.224 0 TD (W)Tj /F19 1 Tf -6.182 -2.167 TD (100nF)Tj 9.667 -4.333 TD [(2.2nF)-1847(15k)]TJ /F21 1 Tf 6.126 0 TD (W)Tj /F19 1 Tf -19.793 0.708 TD (100nF)Tj 17.208 -14.083 TD (MSB)Tj 0.042 -28.875 TD (LSB)Tj -5.333 14.458 TD (DATA)Tj -1.333 -1.083 TD (OUTPUT)Tj -15.458 12.958 TD (+12V)Tj -2.083 2.25 TD (SIN HIGH)Tj 0.208 2.167 TD (SIN LOW)Tj -0.542 2.083 TD (COS LOW)Tj 0.167 2 TD (REF LOW)Tj -0.292 4 TD (COS HIGH)Tj -1.167 6.75 TD (REFERENCE)Tj 3.167 -1.083 TD (INPUT)Tj -10.708 -8.167 TD (RESOLVER)Tj 1.083 -1.083 TD (SIGNALS)Tj 39.667 10.042 TD (PIN 1)Tj 13.958 1.125 TD (39)Tj -0.083 -1.083 TD (k)Tj /F21 1 Tf 0.556 0 TD (W)Tj /F19 1 Tf 2.361 -0.667 TD (110)Tj 0.208 -1.083 TD (k)Tj /F21 1 Tf 0.556 0 TD (W)Tj /F19 1 Tf 2.652 -0.083 TD (180k)Tj /F21 1 Tf 2.224 0 TD (W)Tj ET 1 g 1 G 0 J 424.391 637.514 3.994 -2.473 re B* 0 G 2 J 429.701 637.771 m 422.381 637.771 l 429.701 634.891 m 422.381 634.891 l S 1 G 0 J 451.509 637.514 3.994 -2.473 re B* 0 G 2 J 456.818 637.771 m 449.499 637.771 l 456.818 634.891 m 449.499 634.891 l S BT /F19 1 Tf 5.76 0 0 5.761 432.37 637.591 Tm 0 g (1.5)Tj 0.083 -1.083 TD (nF)Tj 4.667 1.083 TD (6.8)Tj 0.083 -1.083 TD (nF)Tj -8.333 -7.667 TD (68)Tj /F21 1 Tf 1.112 0 TD (W)Tj /F19 1 Tf 4.68 2.458 TD (470pF)Tj 6.542 0.083 TD (100nF)Tj 7.25 8 TD (VELOCITY)Tj 0 -1.083 TD (O/P)Tj 0.292 -10.333 TD (\32012V)Tj -4.417 -13.25 TD (0V)Tj -23.458 11.292 TD (RIPPLE CLOCK)Tj -0.083 -1.958 TD (DIRECTION)Tj 0.083 -1.958 TD (BUSY)Tj 0 -2.042 TD (DATA/LOAD)Tj -0.042 -2 TD (SC2)Tj 0.042 -6.208 TD (INHIBIT)Tj -0.042 -2.125 TD (BYTE SELECT)Tj 0.083 -1.792 TD (ENABLE)Tj 11.667 2.542 TD (100nF)Tj 1.875 -4.542 TD (+5V)Tj ET 0.48 w 122.436 641.671 m 119.316 638.311 l 122.436 519.978 m 119.316 523.338 l S 0.72 w 119.316 523.458 m 119.316 637.951 l 118.956 578.304 m 114.757 578.304 l S 0.48 w 268.824 542.78 m 265.704 537.916 l 268.824 366.601 m 265.704 371.466 l S 0.72 w 265.704 371.693 m 265.704 537.448 l 265.344 451.096 m 261.145 451.096 l S BT /F5 1 Tf 9 0 1.913 9 231.84 347.64 Tm 0 0 0 1 k BX /GS2 gs EX 0.008 Tc 0.002 Tw [(Figure 8. )-498(Typical Circuit Configuration)]TJ ET 0 J 1.2 w BX /GS1 gs EX 104.188 186.379 m 111.649 189.65 116.128 191.913 117.838 193.276 c 119.548 194.639 121.028 196.236 122.35 198.145 c 123.671 200.053 124.772 202.449 125.704 205.448 c 126.637 208.446 129.332 219.452 133.918 238.988 c 138.503 258.524 140.932 268.686 141.321 269.958 c 141.71 271.23 142.279 272.207 143.056 272.933 c 143.833 273.66 144.706 273.838 145.717 273.474 c 146.727 273.111 147.79 272.401 148.956 271.311 c 150.122 270.22 151.906 268.356 154.393 265.63 c 156.88 262.904 159.119 260.774 161.218 259.139 c 163.316 257.503 165.024 256.438 166.423 255.893 c 167.822 255.348 169.151 255.17 170.472 255.352 c 171.793 255.534 173.084 255.711 174.405 255.893 c 175.726 256.075 177.89 256.075 180.999 255.893 c 184.108 255.711 185.702 255.534 185.857 255.352 c S BT /F19 1 Tf 5.761 0 0 5.761 89.443 320.958 Tm 0 g 0 Tc (360)Tj 1.125 -24.083 TD (0)Tj 25.833 -1.167 TD (20)Tj -26.375 7.167 TD (90)Tj 0 -3 TD (45)Tj 6.958 -4.167 TD (4)Tj -7.542 13.167 TD (180)Tj 0 -2.958 TD (135)Tj 0 6.042 TD (225)Tj 0 3 TD (270)Tj T* (315)Tj 22.042 -22.25 TD (16)Tj -5.083 0 TD (12)Tj -4.667 0 TD (8)Tj ET 0.96 w 103.725 322.878 172.833 -137.311 re S 2 J 0.48 w 103.485 202.256 m 276.318 202.256 l 103.485 219.42 m 276.318 219.42 l 103.485 236.584 m 276.318 236.584 l 103.485 253.748 m 276.318 253.748 l 103.485 270.911 m 276.318 270.911 l 103.485 288.075 m 276.318 288.075 l 103.485 305.239 m 276.318 305.239 l 132.051 185.807 m 132.051 323.118 l 218.467 185.807 m 218.467 323.118 l 247.273 185.807 m 247.273 323.118 l 189.662 185.807 m 189.662 323.118 l 160.856 185.807 m 160.856 323.118 l S BT 5.761 0 0 5.761 177.299 165.643 Tm 0 Tw (TIME \320 ms)Tj 16.458 1.708 TD (24)Tj 0 5.761 -5.761 0 83.562 229.617 Tm (ANGLE \320 Degrees)Tj /F5 1 Tf 9 0 1.913 9 54.48 144 Tm 0 0 0 1 k BX /GS2 gs EX 0.008 Tc 0.002 Tw [(Figure 9.)-494(Large Step Response Curves for Typical Circuit)]TJ 0.261 -1.227 TD 0 Tw (Shown in Figure 8)Tj ET 0.48 w BX /GS1 gs EX 463.977 322.999 m 463.977 185.173 l 387.524 322.999 m 387.524 185.173 l 406.857 322.999 m 406.857 185.173 l 425.311 322.999 m 425.311 185.173 l 444.644 322.999 m 444.644 185.173 l 482.431 322.999 m 482.431 185.173 l 501.764 322.999 m 501.764 185.173 l 520.218 322.999 m 520.218 185.173 l 539.551 322.999 m 539.551 185.173 l S 0 J 1.2 w 376.12 315.075 m 387.912 315.075 395.11 315.075 398.059 315.075 c 401.006 315.075 404.606 315.075 409.028 315.075 c 413.45 315.075 417.193 315.075 420.436 315.075 c 423.678 315.075 427.134 314.784 430.966 314.189 c 434.799 313.594 438.11 313.012 441.058 312.417 c 444.006 311.821 447.605 310.949 452.027 309.759 c 456.449 308.568 460.336 306.824 463.874 304.443 c 467.411 302.061 470.291 299.881 472.649 297.798 c 475.008 295.714 477.167 293.679 479.231 291.595 c 481.294 289.512 483.598 287.186 486.251 284.507 c 488.904 281.828 491.208 279.503 493.271 277.419 c 495.335 275.335 497.495 273.155 499.853 270.774 c 502.211 268.393 504.083 266.503 505.557 265.015 c 507.031 263.526 508.902 261.637 511.261 259.256 c 513.619 256.874 515.779 254.694 517.842 252.61 c 519.906 250.527 522.354 248.346 525.302 245.965 c 528.249 243.584 530.985 241.113 533.638 238.434 c 536.291 235.755 540.754 231.831 547.24 226.473 c S BT /F19 1 Tf 5.76 0 0 5.763 360.4 321.078 Tm 0 g 0 Tc (10M)Tj 0.25 -24.083 TD (10k)Tj 3.375 -1.083 TD (\32040)Tj -3.083 16.958 TD (1M)Tj -0.833 -7.875 TD (100k)Tj 7.333 -9.083 TD [(\32020)-21582(120)]TJ 19.875 0 TD (100)Tj -2.875 0 TD (80)Tj -3.333 0 TD (60)Tj -3.167 0 TD (40)Tj -3.333 0 TD (20)Tj -3.125 0 TD (0)Tj ET 0.96 w 375.64 322.518 171.84 -138.305 re S 2 J 0.48 w 547.24 184.693 m 547.24 322.038 l S 0.72 w 546.554 230.488 m 375.669 230.488 l 546.554 276.488 m 375.669 276.488 l S 0.48 w 375.4 199.079 m 546.52 199.079 l 375.4 212.947 m 547.4 212.947 l 375.4 221.313 m 546.52 221.313 l 547.48 226.679 m 375.4 226.679 l 547.48 245.079 m 375.4 245.079 l 547.48 258.879 m 375.4 258.879 l 547.48 266.546 m 375.4 266.546 l 547.48 272.679 m 375.4 272.679 l 547.48 290.312 m 375.4 290.312 l 546.285 304.879 m 375.4 304.879 l 547.48 312.545 m 375.4 312.545 l 547.48 318.679 m 375.4 318.679 l S BT 5.76 0 0 5.763 433.12 167.885 Tm (TEMPERATURE \320 )Tj /F21 1 Tf 8.722 0 TD (\260)Tj /F19 1 Tf 0.4 0 TD (C)Tj 0 5.763 -5.76 0 356.92 234.277 Tm (MTBF \320 Hours)Tj /F5 1 Tf 9 0 1.913 9 377.76 143.64 Tm 0 0 0 1 k BX /GS2 gs EX 0.008 Tc 0.003 Tw [(Figure 10.)-501(AD2S80A MTBF Curve)]TJ ET endstream endobj 52 0 obj <> endobj 53 0 obj <>/Font<>/ProcSet[/PDF/Text/ImageB]/XObject<>>> endobj 54 0 obj <>stream BT /F8 1 Tf 18 0 0 18 36 735.24 Tm 0 0 0 1 k BX /GS2 gs EX -0.01 Tc (AD2S80A)Tj /F5 1 Tf 9.5 0 0 9.5 526.08 18.48 Tm 0.026 Tc 0 Tw (REV. A)Tj -25.213 -0.013 TD 0.05 Tc (\32016\320)Tj /F12 1 Tf 8.35 0 0 9 36 712.68 Tm 0.009 Tc (APPLICATIONS)Tj 0 -1.227 TD 0.019 Tw (Control Transformer)Tj /F14 1 Tf 8.85 0 0 9.5 36 690.6 Tm 0.006 Tc 0.013 Tw (The ratio multiplier of the AD2S80A can be used independently)Tj 0 -1.149 TD 0.012 Tw (of the loop integrators as a control transformer. In this mode the)Tj 0 -1.162 TD (resolver inputs )Tj /F21 1 Tf 6.753 0 TD (q)Tj /F14 1 Tf 0.515 0 TD [( are multiplied by a digital angle )]TJ /F21 1 Tf 14.508 0 TD (f)Tj /F14 1 Tf 0.529 0 TD [( any differ-)]TJ -22.305 -1.162 TD 0.01 Tw (ence between )Tj /F21 1 Tf 6.224 0 TD (f)Tj /F14 1 Tf 0.529 0 TD [( and )]TJ /F21 1 Tf 2.224 0 TD (q)Tj /F14 1 Tf 0.529 0 TD [( will be represented by the AC ERROR)]TJ -9.505 -1.149 TD 0.009 Tw (output as SIN )Tj /F21 1 Tf 6.536 0 TD (w)Tj /F14 1 Tf 0.692 0 TD (t sin \()Tj /F21 1 Tf 2.563 0 TD (q)Tj /F14 1 Tf 0.529 0 TD (\320)Tj /F21 1 Tf 0.502 0 TD (f)Tj /F14 1 Tf 0.529 0 TD (\) or the DEMOD output as sin \()Tj /F21 1 Tf 14.427 0 TD (q)Tj /F14 1 Tf 0.529 0 TD (\320)Tj /F21 1 Tf 0.502 0 TD (f)Tj /F14 1 Tf 0.529 0 TD (\).)Tj -27.336 -1.162 TD 0.011 Tw (To use the AD2S80A in this mode refer to the \322Control Trans-)Tj T* 0.024 Tw (former\323 application note.)Tj /F12 1 Tf 8.35 0 0 9 36 609.6 Tm 0.008 Tc 0.017 Tw (Dynamic Switching)Tj /F14 1 Tf 8.85 0 0 9.5 36 598.68 Tm 0.006 Tc 0.014 Tw (In applications where the user requires wide band response from)Tj T* 0.012 Tw (the converter, for example 100 rpm to 6000 rpm, superior per-)Tj T* 0.016 Tw (formance is achieved if the converters control characteristics are)Tj 30.454 14.324 TD (switched dynamically. This reduces velocity offset levels at low)Tj 0 -1.162 TD 0.014 Tw (tracking rates. For more information on the technique refer to)Tj T* 0.019 Tw (\322Dynamic Resolution Switching Using the Variable Resolution)Tj 0 -1.149 TD 0.042 Tw (Monolithic Resolver-to-Digital Converters.\323)Tj /F12 1 Tf 8.35 0 0 9 305.52 657.6 Tm 0.008 Tc 0.014 Tw (OTHER PRODUCTS)Tj /F14 1 Tf 8.85 0 0 9.5 305.52 646.68 Tm 0.006 Tc 0.013 Tw (The AD2S82A is a monolithic, variable resolution 10-, 12-, 14-)Tj 0 -1.162 TD 0.017 Tw (and 16-bit resolver-to-digital converter in a 44-pin J-leaded)Tj T* 0.012 Tw (PLCC package. In addition to the AD2S80A functions it has a)Tj 0 -1.149 TD 0.01 Tw (VCO OUTPUT which is a measure of position within a LSB,)Tj 0 -1.162 TD 0.014 Tw (and a COMPLEMENT Data Output.)Tj 0 -1.579 TD (The AD2S81A is a low cost, monolithic, 12-bit resolver-to)Tj 0 -1.162 TD (digital converter in a 28-pin ceramic DIP package.)Tj /F5 1 Tf 0 7.5 -7.5 0 593.933 140.955 Tm 0.011 Tc 0.001 Tw (PRINTED IN U.S.A.)Tj 59.841 -0.132 TD -0.002 Tw ( C1437\32024\3201/91)Tj ET 0 0 0 1 K 0 J 0 j 0.48 w 10 M []0 d BX /GS1 gs EX 1 i 224.64 549.36 m 224.64 339.84 l 319.68 549.36 m 319.68 339.84 l 396.6 549.36 m 396.6 339.84 l S BT /F12 1 Tf 8.35 0 0 9 256.32 558.6 Tm BX /GS2 gs EX 0 Tc 0.003 Tw (ORDERING GUIDE)Tj ET BX /GS1 gs EX 131.04 549.84 m 461.04 549.84 l S BT 8.85 0 0 9.5 236.04 539.16 Tm BX /GS2 gs EX (Operating)Tj 0 -1.162 TD [(Temperature)-13582(Package)]TJ ET BX /GS1 gs EX 131.04 511.44 m 461.04 511.44 l S BT 8.85 0 0 9.5 131.04 517.2 Tm BX /GS2 gs EX [(Model)-8836(Range)-8158(Accuracy)-4263(Option*)]TJ /F14 1 Tf 0 -1.92 TD 0.008 Tc [(AD2S80AJD)-6061(0)]TJ /F21 1 Tf 12.42 0 TD (\260)Tj /F14 1 Tf 0.407 0 TD 0.006 Tc 0.012 Tw (C to +70)Tj /F21 1 Tf 4.041 0 TD (\260)Tj /F14 1 Tf 0.407 0 TD 0.007 Tc 0.008 Tw [(C)-4988(8)1( arc min)-4601(D-40)]TJ -17.275 -1.149 TD 0.008 Tc [(AD2S80AKD)-5614(0)]TJ /F21 1 Tf 12.42 0 TD (\260)Tj /F14 1 Tf 0.407 0 TD 0.006 Tc 0.012 Tw (C to +70)Tj /F21 1 Tf 4.041 0 TD (\260)Tj /F14 1 Tf 0.407 0 TD 0.007 Tc 0.008 Tw [(C)-4988(4)1( arc min)-4601(D-40)]TJ -17.275 -1.162 TD 0.008 Tc [(AD2S80ALD)-5738(0)]TJ /F21 1 Tf 12.42 0 TD (\260)Tj /F14 1 Tf 0.407 0 TD 0.006 Tc 0.012 Tw (C to +70)Tj /F21 1 Tf 4.041 0 TD (\260)Tj /F14 1 Tf 0.407 0 TD 0.007 Tc 0.008 Tw [(C)-4988(2)1( arc min)-4601(D-40)]TJ -17.275 -1.162 TD 0.008 Tc [(AD2S80AAD)-5699(\32040)]TJ /F21 1 Tf 13.478 0 TD (\260)Tj /F14 1 Tf 0.407 0 TD 0.006 Tc 0.012 Tw (C to +85)Tj /F21 1 Tf 4.041 0 TD (\260)Tj /F14 1 Tf 0.407 0 TD 0.007 Tc 0.008 Tw [(C)-3931(8)1( arc min)-4601(D-40)]TJ -18.332 -1.149 TD 0.008 Tc [(AD2S80ABD)-5727(\32040)]TJ /F21 1 Tf 13.478 0 TD (\260)Tj /F14 1 Tf 0.407 0 TD 0.006 Tc 0.012 Tw (C to +85)Tj /F21 1 Tf 4.041 0 TD (\260)Tj /F14 1 Tf 0.407 0 TD 0.007 Tc 0.008 Tw [(C)-3931(4)1( arc min)-4601(D-40)]TJ -18.332 -1.162 TD 0.008 Tc [(AD2S80ASD)-5823(\32055)]TJ /F21 1 Tf 13.478 0 TD (\260)Tj /F14 1 Tf 0.407 0 TD 0.006 Tc 0.014 Tw (C to +125)Tj /F21 1 Tf 4.597 0 TD (\260)Tj /F14 1 Tf 0.407 0 TD 0.007 Tc 0.008 Tw [(C)-3375(8)1( arc min)-4601(D-40)]TJ -18.888 -1.162 TD 0.008 Tc [(AD2S80ATD)-5659(\32055)]TJ /F21 1 Tf 13.478 0 TD (\260)Tj /F14 1 Tf 0.407 0 TD 0.006 Tc 0.014 Tw (C to +125)Tj /F21 1 Tf 4.597 0 TD (\260)Tj /F14 1 Tf 0.407 0 TD 0.007 Tc 0.008 Tw [(C)-3375(4)1( arc min)-4601(D-40)]TJ -18.888 -1.149 TD 0.008 Tc [(AD2S80AUD)-5585(\32055)]TJ /F21 1 Tf 13.478 0 TD (\260)Tj /F14 1 Tf 0.407 0 TD 0.006 Tc 0.014 Tw (C to +125)Tj /F21 1 Tf 4.597 0 TD (\260)Tj /F14 1 Tf 0.407 0 TD 0.007 Tc 0.008 Tw [(C)-3375(2)1( arc min)-4601(D-40)]TJ -18.888 -1.162 TD 0.008 Tc [(AD2S80ASE)-5987(\32055)]TJ /F21 1 Tf 13.478 0 TD (\260)Tj /F14 1 Tf 0.407 0 TD 0.006 Tc 0.014 Tw (C to +125)Tj /F21 1 Tf 4.597 0 TD (\260)Tj /F14 1 Tf 0.407 0 TD 0.007 Tc 0.008 Tw [(C)-3375(8)1( arc min)-4601(E-40A)]TJ -18.888 -1.162 TD 0.008 Tc [(AD2S80ATE)-5823(\32055)]TJ /F21 1 Tf 13.478 0 TD (\260)Tj /F14 1 Tf 0.407 0 TD 0.006 Tc 0.014 Tw (C to +125)Tj /F21 1 Tf 4.597 0 TD (\260)Tj /F14 1 Tf 0.407 0 TD 0.007 Tc 0.008 Tw [(C)-3375(4)1( arc min)-4601(E-40A)]TJ -18.888 -1.149 TD 0.008 Tc [(AD2S80AUE)-5749(\32055)]TJ /F21 1 Tf 13.478 0 TD (\260)Tj /F14 1 Tf 0.407 0 TD 0.006 Tc 0.014 Tw (C to +125)Tj /F21 1 Tf 4.597 0 TD (\260)Tj /F14 1 Tf 0.407 0 TD 0.007 Tc 0.008 Tw [(C)-3375(2)1( arc min)-4601(E-40A)]TJ -18.888 -1.162 TD 0.008 Tc [(AD2S80ASD/883B)-3163(\32055)]TJ /F21 1 Tf 13.478 0 TD (\260)Tj /F14 1 Tf 0.407 0 TD 0.006 Tc 0.014 Tw (C to +125)Tj /F21 1 Tf 4.597 0 TD (\260)Tj /F14 1 Tf 0.407 0 TD 0.007 Tc 0.008 Tw [(C)-3375(8)1( arc min)-4601(D-40)]TJ -18.888 -1.162 TD 0.008 Tc [(AD2S80ATD/883B)-2993(\32055)]TJ /F21 1 Tf 13.478 0 TD (\260)Tj /F14 1 Tf 0.407 0 TD 0.006 Tc 0.014 Tw (C to +125)Tj /F21 1 Tf 4.597 0 TD (\260)Tj /F14 1 Tf 0.407 0 TD 0.007 Tc 0.008 Tw [(C)-3375(4)1( arc min)-4601(D-40)]TJ -18.888 -1.149 TD 0.008 Tc [(AD2S80ASE/883B)-3327(\32055)]TJ /F21 1 Tf 13.478 0 TD (\260)Tj /F14 1 Tf 0.407 0 TD 0.006 Tc 0.014 Tw (C to +125)Tj /F21 1 Tf 4.597 0 TD (\260)Tj /F14 1 Tf 0.407 0 TD 0.007 Tc 0.008 Tw [(C)-3375(8)1( arc min)-4601(E-40A)]TJ ET BX /GS1 gs EX 131.04 340.44 m 461.04 340.44 l S BT 8.85 0 0 9.5 131.04 345 Tm BX /GS2 gs EX 0.008 Tc [(AD2S80ATE/883B)-3162(\32055)]TJ /F21 1 Tf 13.478 0 TD (\260)Tj /F14 1 Tf 0.407 0 TD 0.006 Tc 0.014 Tw (C to +125)Tj /F21 1 Tf 4.597 0 TD (\260)Tj /F14 1 Tf 0.407 0 TD 0.007 Tc 0.008 Tw [(C)-3375(4)1( arc min)-4601(E-40A)]TJ 6.95 0 0 7.5 128.04 328.2 Tm 0.011 Tc 0.011 Tw [( *D = Ceramic DIP Package; E = Leadless Ceramic Chip Carrier Package.)]TJ /F12 1 Tf 8.35 0 0 9 242.76 295.8 Tm 0.008 Tc 0.018 Tw (OUTLINE DIMENSIONS)Tj /F14 1 Tf 6.95 0 0 7.5 231.36 284.88 Tm 0.031 Tc 0.014 Tw (Dimensions shown in inches and \(mm\).)Tj ET 1 g 1 G BX /GS1 gs EX 11.28 278.76 14.52 -12.48 re B 0 0 0 1 K 72 314.88 m 540 314.88 l S BT /F12 1 Tf 8.35 0 0 9 97.56 260.76 Tm 0 0 0 1 k BX /GS2 gs EX 0.008 Tc 0.008 Tw (40-Pin Ceramic DIP \(D\) Package)Tj ET BX /GS1 gs EX q 252.7177 0 0 212.1763 40.25 34.9237 cm /Im1 Do Q BT 8.35 0 0 9 369.24 260.76 Tm BX /GS2 gs EX (44-Terminal LCC \(E\) Package)Tj ET BX /GS1 gs EX q 204.9352 0 0 191.9877 329.65 55.0623 cm /Im2 Do Q endstream endobj 55 0 obj <>/Filter/CCITTFaxDecode/Height 884/ImageMask true/Length 5815/Name/Im1/Subtype/Image/Type/XObject/Width 1053>>stream -5lh*1r|!2^YP:XB!R5Xe2 EnLf0t e5Ub"Է @zz>L,fXP:Mo:%zj@` >;#챙9`e/O{uܱ/ޞLdf}S=]?DFM0D ATT6❔ /P4 l\=ܙY Rpn6#&ܷ@jsA[׾~EqN F >U_i,4f2vwHYC}}%qg?okkB0|1յ<3pF;KxO^*avaa"#ڮ`89 cFEJ>CS<( iTz,zJ3Q7ul'7;u"~6-/OoO4?Bҿ/)3X%f(دt*[M}t/C-zE_W_ 뿿}roOO׮vgƕ xf,ku>Z Hh `UP'WPl420Fj35jԨ&Aei92報4-;@3xrb &ρ!^FAb Tk M5_ h>jLd:ﵵq 87iabihGЈ㏧h4nMgbߴrihDG?lC ?bc0:M0NQ1vz<'_.ߪ]{_}%l'\\!Y+{v¦M/Dqɶޤ"}dO@k ] qKAXd&`d`dra3 u e^K=^l dN(_7-ɽɜHg6Ֆ5̈́ tp#N丿)2n4?bhfdj80LP5M?鬷\QoEÿi=U]?ȑ׿گOtAt]HwyFz׼_ҴX6K!`{b4ӰC-؈EؓgR3#mg: 4'Ecez S[QP^? _p.uiP_5⢽6*[nL*X~-Cʲ?Ȩ W 4vCYqC#:C*#Ϧa3iztE\eQp[OבZI~h_[e< I>nX!a+UKb&^UdD\DF3dW̽[/K_+ 0>d() 7d+9 +hkD H[ (r~Al0c+ ˤ@Q9&ud]HԆVX:P:Q2`ɱ̏8C O_Ed|sPekbe_@(5s:R~yie30Mu`iff/v->X }~%VX,fak3{_߯k,83 8qP۟uokk zh!_8Nyhw1_|fkf#OO{΀3i=>~JvNLw׽{___i~DHI$bHȓŅtD>DB~BvDka-^AȇNׇ0Il-('ᅿIV^"]IY>%2$`ɫ1-"zy,#>k[V׫zy4Dzuܡ `,/"'c,X_x.K____V{L 6)@M4XU4Xhh'^}GOGIzIG_&?T? $g%_SqO"Yq_bl z _DQOGwf_$moR5bO"bq 28Tk c8 Mhښ[Eae{4b#/;-qGDG*K_v)7E ?A=_ɹgzI~>3iyʒm}R¦i">GgH CHH Rl+y.H0[~j KaC_u*iSCgs:B'}ׄ8gs:& ZGCl|@3G s@c_Ht!RlR -פt[] Ax}]{ZC j>#޾#q;*6s6/gT|ϊu@09;L SM;޺iCE[OmQqQ/aǑטR^g?-II}u ./9%޵3ui !,{skkߦDma8aDq15 fgњp$Ag0@ &r(Yn>1`! 3wz&"qLAMFs eiI4MމO;MAӫKܸ˶y S^N˞ ]ۭo_W_e߯z>de_aHȗz\Oÿ_ˆ׺ˇ^}cs W5_oK^)b+DQ]ElW{oqsR_V Pa"#޷kve0B#jDteX T7jYƦ|rSBƐAީ0>L|SqiOp%EZ̫3Dn>z6! 9&jhri62 kCg`!6!9iz}.ۭ˷A%2@L&MMzNEs.I=Zߣ)+ffai"np7ror y'׽)SoWNp\fA[I I_VZow}þM-뺌{W.޿_0D.?qi~g}7k#ù߾9q֗{X~+7߱Ud_j߰}uwy}x@][E-y c_}/ nݱ_/Pm ;^wYϿۊدD~}{I᯻ /G_=5FBޫݰ:o,UW_]m}S \7o1TALT:v+텴0 !hCv-B9: T+MbͨErb""&1՟ ͋&dC#̑A5Nko*|AƔݵk$>Ǒy_WO~Ҿ?R o[(կ5ߺR3OkfU{VmnZi#qKu: _}dp<4pAC$])dT ,NF2V)5wr`2AKDmHi+&ꔚ}od?J?k_O 2O%}ǐ<36짏-z~;-D~1Du3<θ@  a4Miv-sEi{i8j ~\W__i}?_һH&6D)3|WmW mo0B݄ lGEWgHG)? 9g!_aNr *xm 2eD8ArA氿+X>?@٩!0Ai4NN-ܷ|kIm&) ֽWZo H=SGꑟpڛM7BSvMm;A_q?Rʦb4Ӻt2\>Y"_kq{YYwڊ'_v0 |Dr3d|fL*h4쒟ΡuTXXC൪Mr ʐe6 K_X6=_Pna ½q@ h A4'ѣT"3g8Uޚa~DEh~=1*r؞.=j?jl޵޸ endstream endobj 56 0 obj <>/Filter/CCITTFaxDecode/Height 800/ImageMask true/Length 6129/Name/Im2/Subtype/Image/Type/XObject/Width 854>>stream ,a?jyHgt^}fC$PB[,v˙Z}]E":0I.Ar c_2SαLz (w\CaABiL_frꬱ˽Q?Z,vM~bCxf**+_!x!`r km[ TiDF'WDG |'4? ظ1ǯ/c|CA_~"xh{T}~QW> Hxukj4WXx7 nwo`,ڭO!f(a0[ hFGo?ՔgSէi 4֩U_|dV8:F/օ)hZaњfC ͠{וt bף!A6jiއ ΀ U?"#d i@Odd)7tӻYJ""d^ɑ II:MV'[]moo#w߭0ߴ{kk c^kkqXkk<[\5? y;6dѓ>LrQ&Go/B=1ȏQ^xo{KOᶴR!}/_tmi[[>'_]u8kkpT8i_qUw ?N4"": p3k7J Dd4ooajaK.CrRU&nC0u6B~֟ODl5ZD4u w BOw}c0N_ކMֆvB!JMƒg C: i&}a;N[d'oD-F׺?(׵_Io)c$k/kXqHMNhZaB"49sB;їM`xd*}(s3!OvG/M=?qh?i_ooEDr\"^EhOTC~{  ~g%O @R[1ɺSI/ztF:oI.Q8⫿I<"jI-IXAP|rr8m5_aa"+k?%p&AvKd.F}SaUf]-B~wI[ W?BbDi{_Rn8`dIv&) 0\4[˷Nzr/p]0&A~]ow?]f`Z>WjQ2*?o?k \¿C_K+ O~AO,nں fdւPzZp5u<؆#7̴dp)3OޚŁe(@@́UJhl{˧{!g|8_Dom&my ~[/>aXdpx;KuÆrgt@o>2*t9w?2mէuwz] a+7دiߦ;~Ta|DDpoy72dp 1 fiE̕ mك==k#A^>k.nOID}yco ; b=4?+Ik!-\T7j%}ثxUOrnm8a4 &ȓ`piu4B`]iIc_d-y6D&Y15邷¾2(Wȫ{:ㄾ|d-6DBGVfgf6 &U@MysEܸݟϥWjߵDtKtKګбk]_4V RApLf8$ QuMm_E00D`߾ppKf#UP)Fddt;ۨ::'?3SL{Ul|;iqׯؤa7.?=Xi46h5t(q^駯Tɹia]lZB<LBI DlƂvt/k\3P?|/! ڮ·s/kz7[.]O}DGp7-AsPh5@` @N A5p| ӻ_G=k'L Mdew#ϓFYOO呄;e`Hoo x )Wn$7o莻{o_/a rT_r``CN"4q^.^`9ۈ={3!]?#!_!#"x ['p'I:P܋yr>ӤV$`eLQp<:WX㊊b1Q?^L) )Nԁͣ8kMSTf) ᡭv4kݪe<E>4 RZߧ]\(a| HWȫ35K,͞,>H02 M5 wM4TX[p "C_{]ED|ux#k*x -?cpp1_鴗/Kkzcc1P"{[mU &hXBk𘈈 ⶬ!nP̳;J djd )- GnR ҁsh6iтo>u|+FH#_M=>i^:N ZDb~@}4o.R '~jDACgn⯓7|[^G^I|1%&h}%BWN2帾\2l> l5u{b Pj ӈZ h:E"!Y> C;~І! DМ%Bz=KA=Ba0ѨΆaF{!8A# ii}zMSO~&rh'2 izzMt[Қ_;u--{^_E?_Jч_J_,EAS;$Όп[ma8@hg%1Cb[4c?3~\>P S_8/}rs']Aw;_%3Dz^瓞]0LfYglTY M1(=X1]xo)f\'w>ùt,޻8W}HcZ=_h>0B"? &xM4馚꨷e_]IIGZᄿ3c_ 7b׮kkhM6*?LoMW a"__u 5TЌ M4A"jikrVC$62+2- CqG`4ɟόpAP}hmILIO&;OOiwkݏ?aiІ0"#X<|g{60AGFip!8tM4Ҵӓ8 NE>`!'B fi=/\Q8t̆⌫dfFS@GR7/jh94࿗S:3#3: 6Cf{<3@g 4A&w.IǘvMi57&k:R~oÿp]qA}ƞou)zAj![Ӯ^~]Po} ?co}]rn^x?co'ieИY]}?可+gj߷o>],f.Y_ۓ1_kWܝ̈́r={OϯvkwB$xO!bki`zmՆ튐?)}viL{\{; M4"F}}bޚTM;TA "n 7zM4M4 ! h Hon(3Jjzj}nD[OEÿ2w]8s=%\~] Ѥ_o~ƕcӢjP,3_,?Aq_l?7_jNCO9t'O[OW}v{J^d["" X_$ 7$d$0MÇLU;[]_+jvaDAkvA,r*fh뙅6!fBr3F>H"3@@Dh;M;fiTӇzsk?N.&['99O޻OEN]uփtuTл]zM/{;ƛcv?B@yc;3>N~_J~L}G~J,_._yiv~X;9}+9_#yAqrL}U l-f7I""\feL"$ @ endstream endobj 57 0 obj <> endobj 58 0 obj <> endobj 59 0 obj <> endobj 60 0 obj <> endobj 61 0 obj <> endobj 62 0 obj <>stream %!FontType1-1.0: DJCNOE+MathematicalPi-Four 1 13 dict begin /FontName /DJCNOE+MathematicalPi-Four def /FontType 1 def /FontBBox {-26 -240 928 773} readonly def /FontMatrix [0.001 0 0 0.001 0 0] readonly def /PaintType 0 def /FontInfo 12 dict dup begin /version (001.000) readonly def /Notice (Copyright (c) 1990 Adobe Systems Incorporated. All Rights Reserved.) readonly def /FullName (Mathematical Pi 4) readonly def /FamilyName (Mathematical Pi) readonly def /ItalicAngle 0 def /isFixedPitch false def /UnderlinePosition -100 def /UnderlineThickness 50 def /Weight (Medium) def /BaseFontName (MathematicalPi-Four) def end def /Encoding 256 array 0 1 255 {1 index exch /.notdef put} for dup 32 /space put dup 33 /H20676 put dup 34 /H20693 put dup 35 /H20661 put dup 36 /H20662 put dup 37 /H20672 put dup 38 /H20670 put dup 39 /H20691 put dup 40 /H20681 put dup 41 /H20682 put dup 42 /H20671 put dup 43 /H20680 put dup 44 /H20666 put dup 45 /H11631 put dup 46 /H20667 put dup 47 /H11408 put dup 48 /H11542 put dup 49 /H11545 put dup 50 /H11546 put dup 51 /H11547 put dup 52 /H11548 put dup 53 /H11549 put dup 54 /H11550 put dup 55 /H11551 put dup 56 /H11543 put dup 57 /H11541 put dup 58 /H20692 put dup 59 /H20690 put dup 60 /H20668 put dup 61 /H11633 put dup 62 /H20669 put dup 63 /H11554 put dup 64 /H20677 put dup 65 /H9001 put dup 66 /H9002 put dup 67 /H9023 put dup 68 /H9004 put dup 69 /H9005 put dup 70 /H9021 put dup 71 /H9003 put dup 72 /H9007 put dup 73 /H9009 put dup 74 /H9014 put dup 75 /H9010 put dup 76 /H9011 put dup 77 /H9012 put dup 78 /H9013 put dup 79 /H9015 put dup 80 /H9016 put dup 81 /H9008 put dup 82 /H9017 put dup 83 /H9018 put dup 84 /H9019 put dup 85 /H9052 put dup 86 /H9024 put dup 87 /H9281 put dup 88 /H9022 put dup 89 /H9020 put dup 90 /H9006 put dup 91 /H20678 put dup 92 /H11632 put dup 93 /H20687 put dup 94 /H20673 put dup 95 /H20679 put dup 96 /H11557 put dup 97 /H9251 put dup 98 /H9252 put dup 99 /H9274 put dup 100 /H9254 put dup 101 /H9280 put dup 102 /H9278 put dup 103 /H9253 put dup 104 /H9257 put dup 105 /H9259 put dup 106 /H9264 put dup 107 /H9260 put dup 108 /H9261 put dup 109 /H9262 put dup 110 /H9263 put dup 111 /H9265 put dup 112 /H9266 put dup 113 /H9277 put dup 114 /H9267 put dup 115 /H9268 put dup 116 /H9270 put dup 117 /H9258 put dup 118 /H9275 put dup 119 /H9272 put dup 120 /H9273 put dup 121 /H9271 put dup 122 /H9256 put dup 123 /H20688 put dup 124 /H11341 put dup 125 /H20689 put dup 126 /H11556 put dup 162 /HV20662 put dup 163 /HV20661 put dup 167 /H9269 put dup 171 /H9255 put dup 173 /H11634 put dup 174 /HS20691 put dup 176 /HV11549 put dup 181 /HV20666 put dup 190 /HV20691 put dup 192 /HS20667 put dup 195 /H9276 put dup 198 /H9282 put dup 201 /HV20690 put dup 210 /HV20678 put dup 211 /HS20678 put dup 212 /HV20687 put dup 213 /HS20687 put dup 214 /HV20667 put dup 220 /HS20661 put dup 221 /HS20662 put dup 222 /HS11549 put dup 242 /HS20690 put dup 247 /HS20666 put dup 248 /H33371 put dup 249 /H33370 put dup 250 /HV33785 put dup 251 /H9284 put readonly def currentdict end currentfile eexec :S~ )znj !IY0C3Ihn" [w'>he>/HE x箑:)Nѩ~/:gxgvaF7}"'7K  wWT9kbSjR@ԈЄ(ym˖dZiMsj3$ ,@NaaGm@~AJ+OgQMhhl .m!%eBl\]~P%R̢3Uk*gOWbF lzkW {$0@ESG^̞FAzB&ܫӴE SKNr!=)wt$Xŭ6NS r˅onNtu%&yeUۦF:ZC &4XV 䕆ppG⇩l."Pнua#cnu 5[k[!jC/U˜zt:7S+{7֪, aӷH<?>džO|@19@Oz< 8ʯF-xx"0t* 72Bv˓_>hpFAH|jbα@/d%` RTPE,nOC?GCULqgAӝn( e ǎ^]@m}Iq; {m;%npyYkĵ7կheK]*B 2C$jOEVLFb\|v-ʵbcP=/&BoJ][+2́Au+ H%Isnbw-Dx\ IM6ZJ"GEۻ|s 0dɑvz:dF_2b7{\7? !]\5y2u0 )˵/6s6 L@%Eؤ!vN==fVq>vKHI J_StBL^DlCW:SҚxy萯UbՊ1$|$]|4BMςL=I36!j>Ug좁%tI=7LDVvm4)+է('xkLR6Gz'Trq m)&̎ewp ZչfYB`uce xϋVeQe/;M 4`gϤv` eJ~HsqIO{[,u"0 L! \$x[Is [ۈwrTC-5|h DRӰ/Gmj"9 -tVN'd$!mm;]Y]?6)P.8Ӗ'-2f"{˝<i\}3_ٮo#| M'H*Bn 6c`c-1IgwGWe$NS%6gSt>z.j#Dm݀7Qw_Уp>= S/`_rj##lŭ1 }8}_pPNJ}D\8mјrt2NrC~xG@@RPLYp+,I:|{s(R@6\2pXRƁ:7j"md&,ӧ,Zr u,Izs?*_kfZb%u=gpU--ɯCz \uuR2=kTBU;(KhUJD{6sݎ i@h;\ - ׃;\fL.kaU<+~B{Cѽo:M jhvݏm>Sx쮷?n6xWF~&x9nDŽ0{vP96ɾ؎8˝ӅMo%xS g6+gW{S{)=p;p<nY~[6XwlR6_K*Fh4;CVXR,Ro p/МA\--mu endstream endobj 63 0 obj <>stream %!FontType1-1.0: DJANEG+PlantinOverbar 1 13 dict begin /FontName /DJANEG+PlantinOverbar def /FontType 1 def /FontBBox {-132 -216 1052 908} readonly def /FontMatrix [0.001 0 0 0.001 0 0] readonly def /PaintType 0 def /FontInfo 12 dict dup begin /version (001.000) readonly def /Notice (Copyright (c) 1990 Adobe Systems Incorporated. All Rights Reserved.Plantin is a trademark of The Monotype Corporation Plc.) readonly def /FullName (PlantinOverbar) readonly def /FamilyName (PlantinOverbar) readonly def /ItalicAngle 0 def /isFixedPitch false def /UnderlinePosition 850 def /UnderlineThickness 50 def /Weight (Medium) def /BaseFontName (PlantinOverbar) def end def /Encoding 256 array 0 1 255 {1 index exch /.notdef put} for dup 0 /NUL put dup 1 /Eth put dup 2 /eth put dup 3 /Lslash put dup 4 /lslash put dup 5 /Scaron put dup 6 /scaron put dup 7 /Yacute put dup 8 /yacute put dup 9 /HT put dup 10 /LF put dup 11 /Thorn put dup 12 /thorn put dup 13 /CR put dup 14 /Zcaron put dup 15 /zcaron put dup 16 /DLE put dup 17 /DC1 put dup 18 /DC2 put dup 19 /DC3 put dup 20 /DC4 put dup 21 /onehalf put dup 22 /onequarter put dup 23 /onesuperior put dup 24 /threequarters put dup 25 /threesuperior put dup 26 /twosuperior put dup 27 /brokenbar put dup 28 /minus put dup 29 /multiply put dup 30 /RS put dup 31 /US put dup 32 /space put dup 33 /exclam put dup 34 /quotedbl put dup 35 /numbersign put dup 36 /dollar put dup 37 /percent put dup 38 /ampersand put dup 39 /quotesingle put dup 40 /parenleft put dup 41 /parenright put dup 42 /asterisk put dup 43 /plus put dup 44 /comma put dup 45 /hyphen put dup 46 /period put dup 47 /slash put dup 48 /zero put dup 49 /one put dup 50 /two put dup 51 /three put dup 52 /four put dup 53 /five put dup 54 /six put dup 55 /seven put dup 56 /eight put dup 57 /nine put dup 58 /colon put dup 59 /semicolon put dup 60 /less put dup 61 /equal put dup 62 /greater put dup 63 /question put dup 64 /at put dup 65 /A put dup 66 /B put dup 67 /C put dup 68 /D put dup 69 /E put dup 70 /F put dup 71 /G put dup 72 /H put dup 73 /I put dup 74 /J put dup 75 /K put dup 76 /L put dup 77 /M put dup 78 /N put dup 79 /O put dup 80 /P put dup 81 /Q put dup 82 /R put dup 83 /S put dup 84 /T put dup 85 /U put dup 86 /V put dup 87 /W put dup 88 /X put dup 89 /Y put dup 90 /Z put dup 91 /bracketleft put dup 92 /backslash put dup 93 /bracketright put dup 94 /asciicircum put dup 95 /underscore put dup 96 /grave put dup 97 /a put dup 98 /b put dup 99 /c put dup 100 /d put dup 101 /e put dup 102 /f put dup 103 /g put dup 104 /h put dup 105 /i put dup 106 /j put dup 107 /k put dup 108 /l put dup 109 /m put dup 110 /n put dup 111 /o put dup 112 /p put dup 113 /q put dup 114 /r put dup 115 /s put dup 116 /t put dup 117 /u put dup 118 /v put dup 119 /w put dup 120 /x put dup 121 /y put dup 122 /z put dup 123 /braceleft put dup 124 /bar put dup 125 /braceright put dup 126 /asciitilde put dup 127 /DEL put dup 128 /Adieresis put dup 129 /Aring put dup 130 /Ccedilla put dup 131 /Eacute put dup 132 /Ntilde put dup 133 /Odieresis put dup 134 /Udieresis put dup 135 /aacute put dup 136 /agrave put dup 137 /acircumflex put dup 138 /adieresis put dup 139 /atilde put dup 140 /aring put dup 141 /ccedilla put dup 142 /eacute put dup 143 /egrave put dup 144 /ecircumflex put dup 145 /edieresis put dup 146 /iacute put dup 147 /igrave put dup 148 /icircumflex put dup 149 /idieresis put dup 150 /ntilde put dup 151 /oacute put dup 152 /ograve put dup 153 /ocircumflex put dup 154 /odieresis put dup 155 /otilde put dup 156 /uacute put dup 157 /ugrave put dup 158 /ucircumflex put dup 159 /udieresis put dup 160 /dagger put dup 161 /degree put dup 162 /cent put dup 163 /sterling put dup 164 /section put dup 165 /bullet put dup 166 /paragraph put dup 167 /germandbls put dup 168 /registered put dup 169 /copyright put dup 170 /trademark put dup 171 /acute put dup 172 /dieresis put dup 173 /notequal put dup 174 /AE put dup 175 /Oslash put dup 176 /infinity put dup 177 /plusminus put dup 178 /lessequal put dup 179 /greaterequal put dup 180 /yen put dup 181 /mu put dup 182 /partialdiff put dup 183 /summation put dup 184 /product put dup 185 /pi put dup 186 /integral put dup 187 /ordfeminine put dup 188 /ordmasculine put dup 189 /Omega put dup 190 /ae put dup 191 /oslash put dup 192 /questiondown put dup 193 /exclamdown put dup 194 /logicalnot put dup 195 /radical put dup 196 /florin put dup 197 /approxequal put dup 198 /Delta put dup 199 /guillemotleft put dup 200 /guillemotright put dup 201 /ellipsis put dup 202 /nbspace put dup 203 /Agrave put dup 204 /Atilde put dup 205 /Otilde put dup 206 /OE put dup 207 /oe put dup 208 /endash put dup 209 /emdash put dup 210 /quotedblleft put dup 211 /quotedblright put dup 212 /quoteleft put dup 213 /quoteright put dup 214 /divide put dup 215 /lozenge put dup 216 /ydieresis put dup 217 /Ydieresis put dup 218 /fraction put dup 219 /currency put dup 220 /guilsinglleft put dup 221 /guilsinglright put dup 222 /fi put dup 223 /fl put dup 224 /daggerdbl put dup 225 /periodcentered put dup 226 /quotesinglbase put dup 227 /quotedblbase put dup 228 /perthousand put dup 229 /Acircumflex put dup 230 /Ecircumflex put dup 231 /Aacute put dup 232 /Edieresis put dup 233 /Egrave put dup 234 /Iacute put dup 235 /Icircumflex put dup 236 /Idieresis put dup 237 /Igrave put dup 238 /Oacute put dup 239 /Ocircumflex put dup 240 /apple put dup 241 /Ograve put dup 242 /Uacute put dup 243 /Ucircumflex put dup 244 /Ugrave put dup 245 /dotlessi put dup 246 /circumflex put dup 247 /tilde put dup 248 /macron put dup 249 /breve put dup 250 /dotaccent put dup 251 /ring put dup 252 /cedilla put dup 253 /hungarumlaut put dup 254 /ogonek put dup 255 /caron put readonly def currentdict end currentfile eexec 4SIA8 {2(? ;gI ju[:CY6C3$PT=K;:xD@c9G}Gޜv3= م/ITCP'1_foR <4L 2>bv\]{ųi)jnh jUXhA} oug6WfbjS Ar6D˸bcT} Gn,9O7 7#}StKmiQ +>ņcI>>bg1G HBQL2d.Wc&syI5b KKѵu: #`AGkǖn?AP2xhsnlK٬^rưPm4zy6^r%!ZJz$x,q AUK$@Ƀd-|"b.0Ā>Pp ގ:nL&Ϥ3R3\ $bK|ïe3BD߉ND^/zX2^u ؝<PҀAqĎk9#ox1I>?%ݷeCCg6n#r_̖\RA?Rrq93-r鼟A\:2o8PO VS]u aR(3*m, [_XQKyo+iQxꛧDlؚY>5˻#@p˞tJ0mx~E:$f_޻ K9i*Kf(Ö`>lL FSױ;E7:hؒNXmd)z2LqwpHS*+xd"_)pk~LV McaBz$ ;0a6Y({$qGO[oz"k=Q70?  DJtz_9aѳM)ekɆ XuЃV PbFjCTp qx-0p'#fuP2Y*zh1 SzP` o߉\ wo|-; G{ooׄx͛a]97j^<(̤&UȼZHҟA U#&8".nYؗ5JVΌvr(J)^B*6uZ< n83 K_4P,O2J) ujAdBS\BK d{q97q LjLJSqG&~qE֪?\=/GkX.!Ug;\V X.Du,͛@"{X\A%2{1ӭSoP~X+^2CσaO=-!;3K#&ش 9ڹz(boVOoQAhV8&a5.Ŧbqnٍ iꤏ`\pAb1?#z_W<)]8z!֒N\ܫV]iu4;Sw . t%uܩ#7?ۺ3I%y\8±߶݉N<z- b1aOj8U˭@A'1L'o*q~>SKx endstream endobj 64 0 obj <> endobj 65 0 obj <> endobj 66 0 obj <> endobj 67 0 obj <> endobj 68 0 obj <> endobj 69 0 obj <> endobj 70 0 obj <> endobj 71 0 obj <> endobj 72 0 obj <> endobj 73 0 obj <> endobj 74 0 obj <> endobj 75 0 obj <> endobj 76 0 obj <> endobj 77 0 obj <> endobj 78 0 obj <> endobj 79 0 obj <>stream Acrobat Distiller 3.0 for Power Macintosh PDF, DATASHEET, PDF DATASHEET, IC, CHIP, SEMICONDUCTOR, TRANSISTOR, ELECTRONIC COMPONENT, ISO COMPONENT, DATASHEETMAX, DATABOOK, CATALOG, 2010-09-07T18:52:44+08:00 1998-02-05T10:22:06Z 2010-09-07T18:52:44+08:00 uuid:318ac086-28f0-4ae7-80af-debf56844ed3 uuid:b06966bb-ddfe-4bf5-abaa-8257bf199149 application/pdf DATASHEET SEARCH SITE | WWW.DATASHEETMAX.COM DATASHEET SEARCH, DATABOOK, COMPONENT, FREE DOWNLOAD SITE Provided By DATASHEETMAX.COM(FREE DATASHEET DOWNLOAD SITE) PDF DATASHEET PDF DATASHEET IC CHIP SEMICONDUCTOR TRANSISTOR ELECTRONIC COMPONENT ISO COMPONENT DATASHEETMAX DATABOOK CATALOG endstream endobj 80 0 obj <> endobj xref 0 81 0000000000 65535 f 0000048766 00000 n 0000048893 00000 n 0000049052 00000 n 0000057023 00000 n 0000057150 00000 n 0000057298 00000 n 0000067728 00000 n 0000067855 00000 n 0000068036 00000 n 0000088638 00000 n 0000088829 00000 n 0000088959 00000 n 0000089166 00000 n 0000138127 00000 n 0000145508 00000 n 0000145747 00000 n 0000145877 00000 n 0000146051 00000 n 0000194961 00000 n 0000195091 00000 n 0000195229 00000 n 0000203117 00000 n 0000203247 00000 n 0000203440 00000 n 0000217288 00000 n 0000217418 00000 n 0000217611 00000 n 0000243706 00000 n 0000251087 00000 n 0000251326 00000 n 0000259005 00000 n 0000259239 00000 n 0000266652 00000 n 0000266889 00000 n 0000267019 00000 n 0000267223 00000 n 0000281862 00000 n 0000281992 00000 n 0000282185 00000 n 0000295018 00000 n 0000295148 00000 n 0000295352 00000 n 0000311239 00000 n 0000311369 00000 n 0000311562 00000 n 0000319976 00000 n 0000320106 00000 n 0000320310 00000 n 0000342717 00000 n 0000342847 00000 n 0000342974 00000 n 0000363837 00000 n 0000363967 00000 n 0000364146 00000 n 0000372103 00000 n 0000378118 00000 n 0000384445 00000 n 0000384675 00000 n 0000384882 00000 n 0000384952 00000 n 0000385978 00000 n 0000387109 00000 n 0000393240 00000 n 0000400916 00000 n 0000401020 00000 n 0000402089 00000 n 0000403225 00000 n 0000404337 00000 n 0000405444 00000 n 0000406633 00000 n 0000407648 00000 n 0000408658 00000 n 0000409795 00000 n 0000409899 00000 n 0000411036 00000 n 0000412172 00000 n 0000412233 00000 n 0000412361 00000 n 0000412463 00000 n 0000417195 00000 n trailer <<6A0567E29A13E340840FB8731BE537B4>]>> startxref 116 %%EOF