首页 | 嵌入式系统 | 显示技术 | 模拟IC/电源 | 元件与制造 | 其他IC/制程 | 消费类电子 | 无线/通信 | 汽车电子 | 工业控制 | 医疗电子 | 测试测量
首页> 分享下载> 常用文档> 模拟混合信号硬件描述语言VHDL—AMS综述

模拟混合信号硬件描述语言VHDL—AMS综述

资料介绍
介绍了VHDL—AMS(VHDL 1076.1)——一种完全集成的混合信号设计语言,针对该语言的要素进行了探讨,通过描述该语言的理论基础、混合建模、语言和应用范围等,阐述了
VHDL—AMS硬件描述语言在模拟和数字混合信号领域应用中的必要性及其优点等。
模拟混合信号硬件描述语言VHDL—AMS综述
本地下载

评论