首页 | 嵌入式系统 | 显示技术 | 模拟IC/电源 | 元件与制造 | 其他IC/制程 | 消费类电子 | 无线/通信 | 汽车电子 | 工业控制 | 医疗电子 | 测试测量
首页> 分享下载> IP核

3-DES IP核的VerilogHDL设计

积分:0分   关键词:3-DES算法IP核DESVerilogHDLS盒P盒仿真

可复用SPI模块IP核的设计与验证

积分:0分   关键词:SPIIP核SoCAMBA总线

基于CPLD的系统中I2C总线的设计

积分:0分   关键词:PhilipsCPLDI2C总线IP核

IP核互连策略及规范

积分:0分   关键词:IP核互连策略SoCIC设计片上总线

I2C器件接口IP核的CPLD设计

积分:0分   关键词:CPLDI2C串行扩展IP核EDAMax plus ⅡAHDL

SoC面世八年后的产业机遇

积分:0分   关键词:SOCIP核ASIC

IP核互连策略及规范

积分:0分   关键词:IP核互连策略及规范

做好了的IP核DE2I2 Sound

积分:0分   关键词:IP核DE2I2Sound

« 1 2 3 4 »