索阅100例 首 页| 资 讯| 下 载| 论 坛| 博 客| Webinar| 高 校| 专 刊| 会展| EETV| 百科| 问答| 电路图| 工程师手册| Datasheet

EEPW首页>百科> VHDL-AMS

VHDL-AMS


贡献者:sylar浏览:3390次 创建时间:2009-05-26

  即IEEE 1076.1标准。
  VHDL-AMS是VHDL的一个分支,它支持模拟、数字、数模混合电路系统的建模与仿真。
  http://www.eda.org/vhdl-ams/
  Verilog-AMS与之类似。支持模拟、数字、数模混合电路系统的建模与仿真。
  http://www.eda.org/verilog-ams/
  The VHDL-AMS language is an extension of the IEEE 1076 (VHDL) standard that supports the description and the simulation of analog, digital, and mixed-signal circuits and systems.


如果您认为本词条还有待完善,需要补充新内容或修改错误内容, 请编辑词条 查看历史版本

开放分类

参考资料
1.http://www.eda.org/vhdl-ams/ 2.http://www.eda.org/verilog-ams/

贡献者


本词条在以下词条中被提及:

关于本词条的评论共:(0条)
匿名不能发帖!请先 [ 登陆]