EEPW首页| 器件索引| 厂商列表| IC替换| 微缩略语| 电路图查询
器件查询:
400万器件资料库等您来搜!
首页>STMICROELECTRONICS> ST486DX

ST486DX

器件名称: ST486DX
功能描述: ST 486 DX ASIC CORE
文件大小: 116.12KB 共8页
生产厂商: STMICROELECTRONICS
下  载: 在线浏览点击下载
简  介: ST 486 DX ASIC CORE Fully Static 3.3V 486 DX/DX2/DX4 ASIC CORE PRELIMINARY DATA s s s s s s s s s s Fully Static 486 compatible core able to operate from D.C to 120MHz Manufactured in a 0.35 micron five layer metal HCMOS process 8K byte unified instruction and data cache with write back capability Parallel processing integral floating point unit, with automatic power down mode Low Power system management modes Cell libraries for 2.2V and 3.3V supply with 5 V I/O interface capability 2 - input NAND delay of 0.160 ns (typ) with fanout = 2. Broad I/O functionality including LVCMOS, LVTTL, GTL, PECL, and LVDS. High drive I/O; capability of sinking up to 48 mA with slew rate control, current spike suppression and impedance matching. Generators to support SPRAM, DPRAM, ROM and many other embedded functions. s s s s s s s s s s s Fully independent power and ground configurations for inputs, core and outputs. Programmable I/O ring capability up to 1000 pads. Output buffers capable of driving ISA, EISA, PCI, MCA, and SCSI interface levels. Active pull up and pull down devices. Buskeeper I/O functions. Oscillators for wide frequency spectrum. Broad range of 400 SSI cells. Design For Test includes LSSD macro library option and IEEE 1149.1 JTAG Boundary Scan architecture built in. Cadence based design system with interfaces from multiple workstations. Broad ceramic and plastic package range. Latchup trigger current > +/- 500 mA. ESD protection > +/- 4000 volts. Figure 1. Example 48……
相关电子器件
器件名 功能描述 生产厂商
ST486DX4 ST 486 DX ASIC CORE STMICROELECTRONICS
ST486DX2 ST 486 DX ASIC CORE STMICROELECTRONICS
ST486DX PROGRAMMING MANUAL STMICROELECTRONICS
ST486DX ST 486 DX ASIC CORE STMICROELECTRONICS
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司
Copyright ©2002 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
京ICP备12027778号-2