新闻中心

EEPW首页>EDA/PCB>市场分析> 联盟扩员,代工巨头「血拼」先进封装

联盟扩员,代工巨头「血拼」先进封装

作者: 时间:2024-07-08 来源:半导体产业纵横 收藏

由三星电子于去年 6 月发起的 MDI(多芯片集成)联盟,正涌入更多的合作者。目前,该联盟中包括多家存储、封装基板和测试厂商在内的合作伙伴已增至 30 家,较去年的 20 家有所增长,仅一年时间就增加了 10 家。

本文引用地址://m.amcfsurvey.com/article/202407/460746.htm

近年来,随着 AI 爆火,的崛起逐步成为业界共识。在算力需求与电路可容纳晶体管数量双双接近极限之时,堆叠和组合不同的芯片便被认为是一种更具效率的芯片制造理念。

此次合作伙伴数量的增加,也反映出三星电子在半导体封装技术方面的积极态度和坚定决心。通过与更多的合作伙伴建立紧密的合作关系,三星电子可以更好地整合资源,提升技术实力,加速产品研发和市场推广。同时,这也将有助于三星电子在半导体封装技术领域取得更大的突破和进展。

台积电、三星先后成立两大联盟

3DFabric 联盟

在 2022 年的开放创新平台生态系统论坛上,台积电宣布开放式创新平台(OIP)3D Fabric 联盟成立。

3DFabric 联盟成员能够及早取得台积电的 3DFabric 技术,使得他们能够与台积电同步开发及优化解决方案,也让客户在产品开发方面处于领先地位,及早获得从 EDA 及 IP 到 DCA / VCA、存储、委外封装测试(OSAT)、基板及测试的最高品质与既有的解决方案及服务。这一联盟是台积电第六个开放创新平台(OIP)联盟。

台积电的 3DFabric 技术包括前段 3D 芯片堆叠或 TSMC-SoIC(系统整合芯片),以及包括 CoWoS 及 InFO 系列封装技术的后端技术,其能够实现更佳的效能、功耗、尺寸外观及功能,达成系统级整合。

除了已经量产的 CoWoS 及 InFO 之外,台积电于 2022 年开始生产系统整合芯片。台积电目前在竹南拥有全球首座全自动化 3DFabric 晶圆厂,其整合了先进测试、台积电的系统整合芯片及 InFO 操作,提供客户最佳的灵活性,利用更好的生产周期时间与品质管制来优化封装。

MDI 联盟

无独有偶,去年 6 月 27 日在第七届三星晶圆代工论坛(SFF)上,三星宣布了最新的芯片制造工艺路线图和业务战略,并成立了多芯片集成(MDI)联盟。目前,该联盟中包括多家存储、封装基板和测试厂商在内的合作伙伴已增至 30 家。

MDI 联盟主要针对的是 2.5D 及 3D 异构集成封装技术,这一技术旨在将多个裸晶片,如 CPU、GPU、HBM(高带宽存储)等,整合到一个封装中,以满足高性能计算(HPC)领域日益增长的需求。随着晶体管缩小尺度已接近极限,业界普遍认为,通过堆叠组合不同的小芯片是更高效的做法。因此,MDI 联盟的建立和发展,对于三星电子在半导体封装技术领域具有重要意义。

有业内人士评论称:「三星电子正在努力通过像 i-Cube 这样的异构集成封装技术来打破台积电的市场优势,但台积电的可靠性和技术实力不容小觑。三星代工只有通过接受像 MDI 联盟这样的开放生态系统,才能迎头赶上。」

CPU 和 GPU 在制造过程中采取不同的设计理念,尽管三星电子拥有将代工、HBM 和封装作为「一站式」解决方案的优势,但仍需设计、后处理公司和 EDA 工具公司等的支持。

作为全球最大的代工芯片制造商,台积电在技术方面一直处于领先地位。三星成立 MDI 联盟,通过加强在 2.5D 和 3D 封装技术领域的研发和应用,旨在缩小与台积电在封装技术方面的差距。通过与更多合作伙伴的紧密合作,三星可以共享技术资源、降低研发成本、加速产品上市时间。

先进封装渐成产业共识

2008 年,台积电开始布局先进封装,首先成立集成互连与封装技术整合部门。2009 年开始战略布局三维集成电路系统整合平台,在新竹、台南、桃园、台中建有 4 座先进封测厂,这为其后续的先进封装技术发展奠定了基础。

2010 年,台积电开始 2.5D Interposer 的研发。次年推出 2.5D Interposer 技术 CoWoS(Chip on Wafer on Substrate)。第一代 CoWoS 采用 65 纳米工艺,线宽可以达到 0.25 μm,实现 4 层布线,为 FPGA、GPU 等高性能产品的集成提供解决方案。赛灵思(Xilinx)型号为「Virtex-7 2000T FPGA」的产品是最具代表性的 CoWoS 产品之一。目前 CoWoS 已经获得赛灵思、英伟达、AMD、富士通、谷歌等高端 HPC 芯片订单。

2019 年第三季 CoWoS 技术已经扩展至 7 纳米,能够在尺寸达二倍光罩大小的硅基板(Silicon Interposer)上异质整合多颗 7 纳米系统单晶片与第二代高频宽存储器(High Bandwidth Memory 2,HBM2)。

2020 年,台积电公布 3D Fabric 先进封装技术系列,包括 2D 和 3D 前端和后端互连技术。前端技术 TSMC-SoIC(整合芯片系统)使用 3D 硅堆栈所需,包括 CoW 和 WoW 堆栈技术;后端工艺包括 CoWoS(Chip on Wafer on Substrate,晶圆基底封装)和 InFO 系列的封装技术。

台积电的 CoWoS、InFO、SoIC 及其他封装技术能对 10 纳米或以下的制程进行晶圆级的键合技术,极大地强化了台积电在先进工艺制程的竞争力。台积电希望通过发挥异质整合的优势,将系统中的晶体管数量提高 5 倍,甚至更多。2023 年,台积电宣布先进后端六厂(Advanced Backend Fab 6)正式启用,采用 3DFabric 技术,为系统集成技术的量产做好准备。

在先进封装技术方面,三星也不甘落后,一直保持积极的研发态度。

2015 年失去苹果订单后,三星开始加大在先进封装技术上的研发力度,特别是 FOPLP 技术。2018 年,FOPLP 技术实现商用,并成功应用于 Galaxy Watch 的处理器封装应用中。

2018 年,三星电子的 3D 封装技术「X-Cube」开发完成。不同于以往多个芯片平行封装,全新的 X-Cube3D 封装允许多枚芯片堆叠封装,使得成品芯片结构更加紧凑。而芯片之间的通信连接采用了 TSV 技术,而不是传统的导线。据三星介绍,目前该技术已经可以将 SRAM 存储芯片堆叠到主芯片上方,以腾出更多的空间用于堆叠其他组件,目前该技术已经可以用于 7nm 甚至 5nm 制程工艺的产品线,也就是说离大规模投产已经十分接近。

2020 年 8 月,三星宣布推出 3D 先进封装技术「X-Cube」。该技术基于 TSV 硅穿孔技术,可以将不同芯片垂直堆叠,释放空间堆叠更多内存芯片。X-Cube 技术已经可以用于 7nm 及 5nm 工艺,满足 5G、AI、AR、VR、HPC 和移动芯片等领域的性能要求。

2021 年 5 月,三星宣布其下一代 2.5D 封装技术「I-Cube4」即将上市。「I-Cube4」全称为「Interposer-Cube4」。作为一个三星的 2.5D 封装技术品牌,它是使用硅中介层的方法,将多个芯片排列封装在一个芯片上的新一代封装技术。该技术集成 1 颗逻辑芯片和 4 颗高带宽内存(HBM),大幅提升逻辑器件和内存之间的通信效率。尽管有专家指出该技术存在寄生参数缺陷及过薄等问题,但三星仍在持续优化和改进。

此外,三星还在 2021 年还推出了其 2.5D 封装解决方案 H-Cube。该方案通过整合两种具有不同特点的基板,包括精细化的 ABF(Ajinomoto Build-up Film)基板以及 HDI(High Density Interconnection,高密度互连)基板,可以进一步实现更大的 2.5D 封装。

为了与台积电竞争,三星计划 2024 年推出先进 3D 芯片封装技术 SAINT(Samsung Advanced Interconnection Technology,三星高级互连技术),能以更小尺寸的封装,将 AI 芯片等高性能芯片的内存和处理器集成。三星 SAINT 将被用来制定各种不同的解决方案,可提供三种类型的封装技术。三星电子下代 3D 芯片堆叠技术的分支之一 SAINT-D 目前正处于概念验证阶段,即将以芯片形式推出,将实现 HBM 内存的垂直集成。

三星电子还计划在 2027 年推出集成 CPO 共封装光学模块的全新一体化 AI 解决方案,旨在为客户提供高速度低功耗的互联选择。

此外,三星还计划整合其存储芯片、代工和芯片封装服务,为客户提供一站式解决方案,以更快地制造他们的人工智能(AI)芯片,驾驭 AI 热潮。

根据http://Market.us的数据,全球 Chiplet 市场规模预计将从 2023 年的 31 亿美元增至 2033 年的 1070 亿美元左右,2024 年至 2033 年的预测期间复合年增长率为 42.5%。

越来越多的企业、研究机构及行业协会开始重视先进封装技术的发展和应用。先进封装技术已成为推动电子产业不断向前发展的重要力量,其市场规模快速增长、产业共识逐渐形成、投资前景看好,都表明先进封装渐成产业共识。

晶圆厂争做先进封装,「中道」概念火热

在传统封装技术向先进封装演进的过程中,曾有人提出「中道工艺」的概念,使传统上前段晶圆制造工艺与后段封装工艺的界线逐渐模糊。而台积电将其封装平台「3DFabric」划分为「前端」和「后端」封装技术之后,这种划分将进一步打破晶圆制造与封装的界线,对于原有设计、制造、封测的产业结构将产生新的影响。

近年来,随着 AI 爆火,先进封装的崛起逐步成为业界共识。在算力需求与电路可容纳晶体管数量双双接近极限之时,堆叠和组合不同的芯片便被认为是一种更具效率的芯片制造理念。先进封装技术是从最新芯片设计中榨取最大马力的关键技术,对于芯片代工制造商争夺业务至关重要。这也使得晶圆厂开始介入先进封装技术。

作为 IDM 和晶圆代工大厂,英特尔也在积极布局 2.5D/3D 封装,挑战台积电。

通过多年技术探索,英特尔相继推出了 EMIB、Foveros 和 Co-EMIB 等多种先进封装技术,力图通过 2.5D、3D 和埋入式等多种异构集成形式实现互连带宽倍增与功耗减半的目标。

EMIB 是英特尔在 2.5D IC 上的尝试,其全称是「Embedded Multi-Die Interconnect Bridge」。因为没有引入额外的硅中介层,而是只在两枚裸片边缘连接处加入了一条硅桥接层(Silicon Bridge),并重新定制化裸片边缘的 I/O 引脚以配合桥接标准。

2018 年 12 月,英特尔展示了名为「Foveros」的全新 3D 封装技术,这是继 2018 年英特尔推出突破性的 EMIB 封装技术之后,英特尔在先进封装技术上的又一个飞跃。

2019 年,英特尔再次推出了一项新的封装技术 Co-EMIB,这是一个将 EMIB 和 Foveros 技术相结合的创新应用。它能够让两个或多个 Foveros 元件互连,并且基本达到单芯片的性能水准。

2020 年,英特尔展示了其在 3D 封装技术领域中的新进展,英特尔称其为「混合键合(Hybrid bonding)」技术,旨在替代传统的「热压键合」技术,实现 10 微米及以下的凸点间距,提供更高的互连密度、带宽和更低的功率。

今年上半年,国际投行大摩消息称,英伟达 GB200 采用的先进封装工艺将使用玻璃基板;此外,英特尔、三星、AMD、苹果等大厂此前均表示将导入或探索玻璃基板芯片封装技术。这一消息再次引爆先进封装市场。

台积电目前已建成 6 家先进封测厂。应众多客户要求,台积电于 2023 年 Q2 开始紧急为 CoWoS 购进设备、配置产能。2023 年底台积电 CoWoS 月产能约为 15000 片晶圆,追加设备进驻后,月产能预计可达 20000 片以上,并逐季增加。

英特尔目前在美国奥勒冈州和新墨西哥州建成 2 座先进封装厂,2021 年 5 月宣布斥资 35 亿美元扩充新墨西哥州先进封装产能。2023 年 8 月宣布在马来西亚槟城建立先进封装新厂,预计 2024 年底到 2025 年完工投产,该厂将成为英特尔最大的 3D 先进封装基地。英特尔规划 2025 年 3DFoveros 封装产能达 2023 年水平的 4 倍。

三星 2023 年计划在韩国天安厂区建立一条 HBM 所需的新封装线,用于供应高性能芯片厂商,并计划于 2024 年将 HBM 产能提升为当前的 2.5 倍。三星的 HBM3 已通过英伟达和 AMD 的质量检测,即将成为供应商。

英特尔、台积电等为晶圆厂主要代表,其在前道制造环节经验更丰富,能深入发展需要刻蚀等前道步骤 TSV 技术,因而在 2.5D/3D 封装技术方面较为领先。先进封装已成为半导体创新、增强功能、性能和成本效益的关键而其工艺偏向于前道工艺,使得晶圆代工厂与 IDM 厂商在该领域具有天然先发优势。目前,索尼、力成、德州仪器(TI)、SK 海力士、联电等也积极布局先进封装产能,进一步加剧先进封装市场竞争格局。



关键词:先进封装

评论


技术专区

关闭