新闻| 论坛| 博客| 在线研讨会
LATCH的产生
zhchxgh| 2009-07-14 22:49:58 阅读:1398 发布文章

LATCH的产生

在VHDL的表述逻辑的PROCESS中,如果一个信号被条件调用或者,有信号在付值语句右侧出现,而这些信号又没有在敏感表中,则输出信号会形成LATCH.对输入信号很多的逻辑最好不要用process表达,而用When...ELSE 或With...select等其他.
另外还有其他情况也可以生成latch.下面是一个例子.
...
signal A : std_logic_vector( 3 downto 0);
signal B : std_logic_vector( 2 downto 0);
...

process ( RST,CLK ) begin
if ( RST = '0' ) then
A <= ( others => '0' );
elsif ( CLK'event and CLK='1' ) then
A( 2 downto 0) <= B;
end if;
end process;

*博客内容为网友个人发布,仅代表博主个人观点,如有侵权请联系工作人员删除。

参与讨论
登录后参与讨论
推荐文章
最近访客