这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界» 论坛首页» 嵌入式开发» FPGA» 累加器代码

共8条 1/1 1 跳转至

累加器代码

菜鸟
2012-11-09 18:06:43 打赏
没有信号输入的时候,用signal tap看 sum值一直在跳变



关键词: 累加器 代码

助工
2012-11-09 20:38:38 打赏
2楼
是不是硬件电路引入干扰了。导致没有输入也有跳变的情况呐。

高工
2012-11-09 20:55:24 打赏
3楼

可能是硬件干扰造成的


专家
2012-11-10 11:27:12 打赏
4楼
跳变?有图么?

菜鸟
2012-11-10 18:00:10 打赏
5楼
解决了 就是干扰问题。。。。

菜鸟
2012-11-11 01:23:01 打赏
6楼
干扰问题如何解决的啊?

高工
2012-11-13 22:48:08 打赏
7楼
什么样的干扰?是USB Blaster连接链路引入的干扰,还是FPGA时钟源或者其他电源未滤波引入的呢,具体说一下~!

菜鸟
2012-11-14 12:47:35 打赏
8楼
USB-blaster 不稳定不知道怎么搞的?估计是板子时间长了,有时候要用手按按就好了 无语了

共8条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册]