这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界» 论坛首页» 嵌入式开发» FPGA» 仿真是这样子的,是怎么回事

共4条 1/1 1 跳转至

仿真是这样子的,是怎么回事

助工
2013-05-26 20:58:49 打赏

做一个数字模板匹配,10*10的模板,程序时这样的:

result_cnt0<=((~templet20[col_num])&(data0[col_num])+(templet20[col_num])&(~data0[col_num]))+result_cnt0;
result_cnt1<=((~templet21[col_num])&(data1[col_num])+(templet21[col_num])&(~data1[col_num]))+result_cnt1;

。。。。。

就是每行的10个点与模板异或,计算一共有几个不同点,Modelsim仿真的结果是这样:

请问这是什么原因呢?




关键词: 模板匹配 Modelsim错误

工程师
2013-05-27 09:31:20 打赏
2楼

没有初始值造成的?


高工
2013-05-29 16:30:36 打赏
3楼
仿真结果是未知状态

助工
2013-06-04 09:58:08 打赏
4楼

初始赋值了,都赋了0;

原来的程序:result_cnt0<=((~templet20[col_num])&(data0[col_num])+(templet20[col_num])&(~data0[col_num]))+result_cnt0;

加个计数器,改掉右边的result_cnt0,然后就好了,不知道是不是FPGA里不可以这样子写~~


共4条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册]