这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界» 论坛首页» 嵌入式开发» FPGA» 在多个always语句中对同一个变量进行赋值,结果无法综合。求教该怎么修改

共2条 1/1 1 跳转至

在多个always语句中对同一个变量进行赋值,结果无法综合。求教该怎么修改

菜鸟
2015-05-19 13:53:05 打赏

reg [3:0] count;

reg[2:0] m;


always @(posedgeclk1or negedge rst_n)

if(!rst_n)

m<=3'b000;

else

m<=m+1;


always @(posedgeclk2or negedge rst_n)

if(!rst_n)

begin

count<=4'b0000;

out<=0;

end

else if(count==4'b1111)

begin

count<=4'b0000;

m<=3'b000;

end

.........

其中,clk1的周期是clk2周期的16倍。m记录clk1的上升沿的个数,count记录clk2上升沿的个数。要求在count计数值为15且clk2上升沿时对m值清零。

求教,如何实现这一功能??




关键词: fpga always 语句 变量 赋值

高工
2015-05-19 14:45:56 打赏
2楼
在多个always语句中不能对同一个变量进行赋值的啊,这是很基础的语法知识。

共2条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册]