这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界» 论坛首页» 嵌入式开发» MCU» 如何用VHDL实现取随机数呢?

共1条 1/1 1 跳转至

如何用VHDL实现取随机数呢?

菜鸟
2005-09-06 20:40:33 打赏
1.做一个计数器,本身虽然不是随机的,但由于发生冲突的时刻是随机的,所以可以 得到一个随机序列. 2.可以利用反馈移位寄存器实现一个m序列或者Gold序列,虽然是伪随机的,但如果周期 足够长,也够用了 3.利用稳压二极管在崩溃电压附近的特性,经放大,整形,可以实现一个真随机码发生器, 具体我不太清楚,不过电路好象不是很复杂.?br />



关键词: 何用 实现 随机数

共1条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册]