首页 资讯 商机 下载 拆解 高校 招聘 杂志 会展 EETV 百科 问答 电路图 工程师手册 Datasheet 100例 活动中心 E周刊阅读 样片申请
EEPW首页>> 主题列表>> 计数器

基于VHDL的多功能可变模计数器设计

  • 可变模计数器作为一种基本数字电路模块,在各种数字系统中应用广泛。在对现有的可变模计数器的研究基础上,在QuartusⅡ开发环境中,用VHDL语言设计一种功能更加强大的可变模计数器,它具有清零、置数、使能控制、可逆计数和可变模等功能,并且对传统的可变模计数器的计数失控问题进行研究,最终设计出一种没有计数失控缺陷的可变模计数器,并以ACEX1K系列EP1K30QC208芯片为硬件环境.验证了其各项设计功能。结果表明该设计正确,功能完整,运行稳定。
  • 关键字:VHDL多功能计数器

基于单片机和FPGA的多功能计数器的设计

  • 1 引言
    频率、周期、相位是交流信号的3大要素。一般情况下,分析交流信号需研究其频率与相位,而周期可直接由频率计算。对于正弦信号的频率、相位测量准确度的要求不断提高,而随着电子技术的发展,对其测量方法
  • 关键字:计数器设计多功能FPGA单片机基于

打桩船自动测量系统中声音控制计数器的设计

  • 在打桩船上,环境噪声交错,要对打桩声进行计数以保存打桩次数记录是相对复杂的。文章结合模拟滤波放大处理与数字冗余滤波及微处理器的自适应识别控制提出了一种具体可行的设计方法,使得计数系统可靠性高,计数准确。
  • 关键字:控制计数器设计声音系统自动测量打桩转换器

基于数字技术的雷达恒虚警电路设计与实现

单片机中定时/计数器在消除瞬时干扰中的应用

PLC的高速计数器功能在定位控制上的应用

  • 1 引言 切纸机械是印刷和包装行业最常用的设备之一。切纸机完成的最基本动作是把待裁切的材料送到指定位置,然后进行裁切。其控制的核心是一个单轴定位控制。我公司引进欧洲一家公司的两台切纸设备,其推进定位系统
  • 关键字:PLC计数器定位控制

简易函数信号发生器与计数器设计合二为一

  • 1 引言 工厂计量部门、科研院所、大学物理实验室使用函数信号发生器和计数器计量、维修、实验和教学,但大多是把函数信号发生器和计数器作为两种仪器,为了方便科研教学,合二为一,把函数信号发生器与计数器设计成一台仪器。 2仪器设计 图1是具有函数信号发生器和计数器的仪器电路结构框图,它是由ICL8038函数发生器、方波输出接口、三角波输出接口、正弦波输出接口、1秒闸门单稳态开关电路、1 Hz振荡器、十进制计数器、微分器、单稳态控制
  • 关键字:函数信号发生器计数器

基于FPGA的UART控制器的多模块设计与实现

  •   异步串行通信要求的传输线少,可靠性高,传输距离远,被广泛应用于微机和外设的数据交换。实现串口通信主要需要完成两部分工作:   将串口电平转换为设备电路板的工作电平,即实现RS-232电平和TTL/CMOS电平的转换;   接收并且检验串行的数据,将数据变成并行的并提供给处理器处理。   实现RS-232电平和TTL/CMOS电平转换可以用接口芯片来实现,实现数据的串行到并行转换用的是UART,它们是实现串行通信必不可少的两个部分。虽然目前大部分处理器芯片中都集成了UART,但是一般FPGA芯片却
  • 关键字:UART寄存器计数器MCU和嵌入式微处理器

开机计数器实验

  • 说明:本实验I/O口定义按SL100实验套件接口定义,更详细说明,请到论坛相关产品栏目阅读 ;24c02的开机计数器,系统复位一次数码管加1 ;********************************** SDA24 EQU P1.4 SCLK24 EQU P1.5 &
  • 关键字:计数器单片机

基于TTL电路的倒数计数器设计及应用

  • 本文采用TTL集成电路设计倒数计数器,具有工作稳定、抗干扰性强、无需单片机开发系统和编程、易于制作、时间设置范围广、操作方便等优点,适用于竞赛类时间控制、烹饪定时等,也可用作实验计数器。
  • 关键字:TTL电路计数器

Maxim推出内置二进制计数器和唯一64位序列号的RTC

  • Maxim推出DS1372实时时钟(RTC),内置二进制计数器和唯一64位序列号,可用于数字数据应用。数字版权管理(DRM)软件要求在文件下载时记录修改时间,并且具有唯一的序列号用于认证操作人员。DS1372内置的二进制计数器和唯一的64位序列号不仅仅满足DRM的要求,还使设计人员可以减少系统元件数并采用廉价的处理器。该RTC集成这一系列功能在8引脚µSOP封装内,理想用于MP3/MP4/PMP播放器、个人录像机(PVR)、数码相机以及电子收款机(POS)终端。   D
  • 关键字:嵌入式系统单片机Maxim二进制计数器嵌入式

VHDL设计的消抖与滤波

  • 在VHDL中对于小于触发器建立时间的毛刺可以用时钟打一下实现滤波。但对于开关或按键抖动等较大的干扰,我们可...
  • 关键字:计数器寄存器计数
共163条 11/11|‹«234567891011

计数器介绍

计数器定义 在数字电路中,计数器属于时序电路,它主要由具有记忆功能的触发器构成。计数器不仅仅用来记录脉冲的个数,还大量用作分频、程序控制及逻辑控制等,在计算机及各种数字仪表中,都得到了广泛的应用。 计数器分类 按计数脉冲引入方式,分为同步和异步计数器;按进位制,分为二进制、十进制和N进制计数器;按逻辑功能,分为加法、减法和可逆计数器;按集成度,分为小规模与中规模集成计数器。 1. 异步 [ 查看详细]
关于我们- 广告服务- 企业会员服务- 网站地图- 联系我们- 征稿- 友情链接- 手机EEPW
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司
备案京ICP备12027778号-2 北京市公安局备案:1101082052 京公网安备11010802012473