首页 资讯 商机 下载 拆解 高校 招聘 杂志 会展 EETV 百科 问答 电路图 工程师手册 Datasheet 100例 活动中心 E周刊阅读 样片申请
EEPW首页>> 主题列表>> leonardospectrum

leonardospectrum文章进入leonardospectrum技术社区

使用LeonardoSpectrum综合Xilinx FPGA的VHDL程序

  • 摘 要: 本文总结了使用LeonardoSpectrum综合Xilinx FPGA的VHDL程序应用,以及在VHDL中使用不同类型RAM的方法。关键词: LeonardoSpectrum;FPGA;VHDLLeonardoSpectrum 是Mentor Graphics公司设计的功能强大的EPLD/FPGA/ASIC综合工具,支持大部分EPLD/FPGA厂商的产品。LeonardoSpectrum支持VHDL、Verilog、EDIF的综合、优化和定时分析,可
  • 关键字:FPGALeonardoSpectrumVHDL
共1条 1/11

leonardospectrum介绍

您好,目前还没有人创建词条leonardospectrum!
欢迎您创建该词条,阐述对leonardospectrum的理解,并与今后在此搜索leonardospectrum的朋友们分享。 创建词条

热门主题

关于我们- 广告服务- 企业会员服务- 网站地图- 联系我们- 征稿- 友情链接- 手机EEPW
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司
备案京ICP备12027778号-2 北京市公安局备案:1101082052 京公网安备11010802012473