首页 资讯 商机 下载 拆解 高校 招聘 杂志 会展 EETV 百科 问答 电路图 工程师手册 Datasheet 100例 活动中心 E周刊阅读 样片申请
EEPW首页>> 主题列表>> sp601

用赛灵思目标设计平台轻松实现创新设计

  •   目标  演示设计人员利用赛灵思目标设计平台如何轻松开始下一项 FPGA 设计工作。该演示使用Spartan®-6 FPGA SP601 评估套件展示客户是如何之快:  1. 开箱后,立即可以开始设计工作。  2. 评估功耗、资源和架构权衡。  3. 重新利用并扩展参考设计。  演示规范  1. 利用板诊断测试确认硬件功能。  2. 实施基础参考设计接口,演示如何通过简便易用的 GU
  • 关键字:XilinxSpartanFPGASP601

用赛灵思目标设计平台轻松实现创新设计

  •   目标   演示设计人员利用赛灵思目标设计平台如何轻松开始下一项 FPGA 设计工作。该演示使用 Spartan®-6 FPGA SP601 评估套件展示客户是如何之快:   1. 开箱后,立即可以开始设计工作。   2. 评估功耗、资源和架构权衡。   3. 重新利用并扩展参考设计。   演示规范   1. 利用板诊断测试确认硬件功能。   2. 实施基础参考设计接口,演示如何通过简便易用的 GUI 灵巧地使用 FPGA 的设计与特性。   a. 为了便于演示,我们比较图形处理
  • 关键字:XilinxSpartanFPGASP601
共2条 1/11

sp601介绍

您好,目前还没有人创建词条sp601!
欢迎您创建该词条,阐述对sp601的理解,并与今后在此搜索sp601的朋友们分享。 创建词条

热门主题

关于我们- 广告服务- 企业会员服务- 网站地图- 联系我们- 征稿- 友情链接- 手机EEPW
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司
备案京ICP备12027778号-2 北京市公安局备案:1101082052 京公网安备11010802012473