首页 资讯 商机 下载 拆解 高校 招聘 杂志 会展 EETV 百科 问答 电路图 工程师手册 Datasheet 100例 活动中心 E周刊阅读 样片申请
EEPW首页>> 主题列表>> cpld-jtag接口

cpld-jtag接口文章进入cpld-jtag接口技术社区

CPLD在嵌入式系统与CAN总线网络通信中的应用

  •   1.引言   可编程逻辑器件PLD(Programmable logic Device)就是由用户进行编程实现所需逻辑功能的数字专用集成电路ASIC。可编程逻辑器件在现代电子工程设计中得到了广泛应用。它是在PAL,GAL等逻辑器件的基础上发展起来的,具有高密度,高速度,低功耗体系结构和逻辑单元,灵活以及运用范围宽等特点,同时还具有设计周期短,制造成本低,开发工具先进,标准产品无需测试,质量稳定及可实时布线检验等优点。   现场总线技术广泛应用于工业和军用测控局域网中,它可以实现较远距离、较快速度的
  • 关键字:CPLD

基于CPLD的声发射信号传输系统设计

  •   声发射技术是光纤传感技术和声发射技术相结合的产物,是目前声发射技术的发展趋势。它将高灵敏度声发射传感器安装于受力构件表面以形成一定数目的传感器阵列,实时接收和采集来自于材料缺陷的声发射信号,进而通过对这些声发射信号的识别、判断和分析来对材料损伤缺陷进行检测研究并对构件强度、损伤、寿命等进行分析和研究。   在实际的构件检测中,现场声源信号通常是在100~800 khz之间的微弱高频信号,而且材料损伤检测、声发射源定位往往需要多个传感器形成传感器阵列,而声发射信号的数据传输系统必须达到640 mbps
  • 关键字:CPLD 信号

用DSP实现CPLD多方案现场可编程配置

  •   1 总体描述   系统中的DSP采用TI公司的定点数字信号处理器TMS320C5402。它采用4总线4级流水线的增强型哈佛结构,处理速度为100MIPS;具有片内4K×16位的ROM和16K×16位的DARAM, 2个多通道缓冲串行口(McBSP),1个直接存储控制器(DMA)等片内外围电路;外部可扩展至1M×16位存储空间,芯片采用3.3V电源电压。   TMS320C5402的多通道缓冲串行口(mu
  • 关键字:DSP CPLD

基于CPLD的超声相控阵相控发射与同步系统的实现

  • 研制的超声相控阵实验系统采用数字方式控制各阵元的超声发射延时,能够得到很高的精度和稳定性。阐明该系统各阵元间的发射同步这一重要环节的实现方法。
  • 关键字:CPLD超声相控阵相控发射

基于CPLD的卷积码编解码器的设计

  • 本文阐述了卷积码编解码器的基本工作原理,在MAX+PLUS2软件平台上,给出了利用复杂可编程逻辑器件设计的(2,1,6)卷积码编解码器电路,并进行了编译和波形仿真。
  • 关键字:CPLD卷积码编解码器

基于CPLD控制的通用视频采集模块

CPLD开发板和FPGA开发板的区别

  • 市面上尤其是学校里面可以看到Xilinx公司或者Altera公司各种不同的开发板,其实只有两个大类,CPLD开发板和FPGA开发板。尽管FPGA和CPLD都是可编程ASIC器件,有很多共同特点,但由于CPLD和FPGA结构上的差异,具有各自的特点: ① CPLD更适合完成各种组合逻辑,FP GA更适合于完成时序逻辑。换句话说,FPGA更适合于触发器丰富的结
  • 关键字:CPLDFPGA开发板嵌入式系统单片机嵌入式

CPLD通用写入器设计与开发

  • 在本文中,我们将通过对CPLD的发展、结构、应用和设计等方面的认知,了解CPLD的基本原理,并设计出CPLD脱机编程写入器的电路图。
  • 关键字:CPLD写入器

半导体业界领袖08新视点 低功耗是一种优势

  •   Altera公司产品和企业市场副总裁DannyBiran   低功耗是一种战略优势   在器件的新应用上,FPGA功耗和成本结构的改进起到了非常重要的作用。Altera针对低功耗,同时对体系结构和生产工艺进行改进,使我们的高端StratixIIIFPGA能够用于高性能计算领域,而低成本CycloneIIIFPGA用于软件无线电,MaxIIZCPLD则适合便携式应用。   在生产工艺方面,Altera在很大程度上受益于和TSMC的合作。这种紧密的合作关系使Altera能够在CycloneIII中充
  • 关键字:处理器FPGACPLD嵌入式

基于AVR的VGA显示和激光打印系统

  • 摘要:用ATMEGA128单片机结合CPLD实现了对VGA显示器和激光打印机的控制,完成了在VGA显示器上实时显示字符和图形的功能,并控制激光打印机实现了屏幕信息的打印输出。本设计克服了单片机系统显示和打印功能薄弱的缺点,为扩大其应用范围奠定了基础,同时也为其他嵌入式系统的信息输出提供了一种解决方案。 关键词:VGA控制器;PCL命令语言;激光打印;CPLD   随着集成电路制造技术的不断发展,MCU、ARM、DSP等微控制器、微处理器的性能急剧提高,但是输出功能,尤其是显示和打印功能仍然比较薄弱
  • 关键字:VGA控制器PCL命令语言激光打印CPLDMCU和嵌入式微处理器

基于CPLD的电池管理系统双CAN控制器的设计

  •   电池管理系统是混合动力汽车中重要的电子控制单元,具有保障电池正常、可靠和高效工作的作用,是电池与用电设备之间的桥梁。在研制以及批量生产过程中都需要对其内部控制参数进行离线或在线匹配标定,而电池管理系统需要采集和处理大量的数据,本文选用TMS320LF2407作为标定用CAN控制器。作为电动汽车上的一个CAN节点,需要接收整车发来的CAN消息来执行对外部继电器、风扇以及电池等器件的控制命令,本文选用SJA1000。   双CAN硬件电路和CPLD逻辑设计   双CAN硬件电路设计   TMS320
  • 关键字:嵌入式系统单片机CANCPLD电池管理MCU和嵌入式微处理器

Altera新的MAX IIZ CPLD在便携式应用中实现了零功耗

  •   Altera公司宣布新的零功耗MAX® IIZ CPLD进一步扩展了其低功耗可编程逻辑解决方案产品组合,该器件是专门针对解决便携式应用市场的功耗、封装和价格限制而设计开发的。和相竞争的传统宏单元CPLD相比,MAX IIZ器件具有6倍的密度和3倍的I/O资源优势,以相同甚至更低的功耗满足了设计人员对各种功能的需求,同时大大降低了电路板面积。MAX IIZ器件为业界最流行的CPLD系列增加了零功耗和超小型封装型号,使手持式设备和其他便携式应用能够充分发挥CPLD的诸多优势——包括灵活性、产品快速
  • 关键字:嵌入式系统单片机AlteraCPLDMAXMCU和嵌入式微处理器

基于AD7892SQ和CPLD的数据采集系统的设计

  •   引 言   本系统以AD7892SQ和CPLD(复杂可编程逻辑器件)为核心设计了一个多路信号采集电路,包括模拟多路复用、集成放大、A/D转换,CPLD控制等。采用硬件描述语言Verilog HDL编程,通过采用CPLD使数据采集的实时性得到提高。   1 硬件设计   针对多路信号的采集,本系统采用4/8通道ADG508A模拟多路复用器对检测的信号进行选择,CMOS高速放大器LF156对选中的信号进行放大,AD7892SQ实现信号的A/D转换,CPLD完成控制功能。电路如图1所示。   
  • 关键字:嵌入式系统单片机AD7892SQCPLD数据采集MCU和嵌入式微处理器

异步通信起始位正确检测的VHDL实现

  •   摘要: 基于FPGA/CPLD的UART设计众多,本文分析了3倍频采样方法存在的不足,同时分析了16倍频采样对起始位检测的可靠性,并给出相关的VHDL硬件描述语言程序代码。   关健词: 异步数据;UART;FPGA/CPLD;VHDL   概述   随着电子设计自动化(EDA)技术的发展,可编程逻辑器件FPGA/CPLD已经在许多方面得到了广泛应用,而UART(通用异步收发器) 是在数字通信和控制系统中广泛使用的串行数据传输协议。因此越来越多用户根据自己的需要,以EDA技术作为开发手段,用一块
  • 关键字:0711_A杂志_设计天地嵌入式系统单片机异步数据UARTFPGA/CPLDVHDLMCU和嵌入式微处理器

微机保护控制接口装置的CPLD抗干扰设计

  •   引 言   微机保护装置是指微机系统构成的数字式继电保护装置。在我国煤矿井下高压(6kV)供电系统中,绝大多数属于变压器中性点绝缘运行方式(三相三线制),通过动力电缆送电。煤矿井下环境恶劣,空间狭窄,动力电缆长期处在潮湿、淋水、腐蚀的环境中,散热条件差,绝缘性能易下降,经常发生单相漏电或单相接地故障。这种故障引起正常相电压升高,若不及时断电,会造成多相短路,迫使供电中断,并使电力故障进一步扩大。高压防爆开关微机保护装置是安装在高压防爆开关中,对井下电缆和用电设备的单相漏电或单相接地、短路、过流、绝缘
  • 关键字:嵌入式系统单片机CPLDCPU数字滤波
关于我们- 广告服务- 企业会员服务- 网站地图- 联系我们- 征稿- 友情链接- 手机EEPW
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司
备案京ICP备12027778号-2 北京市公安局备案:1101082052 京公网安备11010802012473