首页 资讯 商机 下载 拆解 高校 招聘 杂志 会展 EETV 百科 问答 电路图 工程师手册 Datasheet 100例 活动中心 E周刊阅读 样片申请
EEPW首页>> 主题列表>> rtl-to-gdsii

rtl-to-gdsii文章进入rtl-to-gdsii技术社区

Cadence推出C-to-Silicon Compiler拓展系统级产品

  •   全球电子设计创新领先企业Cadence设计系统公司(纳斯达克: CDNS),今天宣布推出Cadence® C-to-Silicon Compiler,这是一种高阶综合产品,能够让设计师在创建和复用系统级芯片IP的过程中,将生产力提高10倍。C-to-Silicon Compiler中的创新技术成为沟通系统级模型之间的桥梁,它们通常是用C/C++ 和SystemC写成的,而寄存器传输级(RTL)模型通常被用于检验、实现和集成SoC。这种重要的新功能对于开发新型SoC和系统级IP,用于消费电子、无
  • 关键字:CadenceRTLSoCIP

CADENCE与Common Platform及ARM合作提供45纳米RTL-to-GDSII参考流程

  •   全球电子设计创新企业Cadence设计系统公司(NASDAQ: CDNS)今天宣布面向Common Platform™技术的45纳米参考流程将于2008年7月面向大众化推出。Cadence®与Common Platform技术公司包扩IBM、特许半导体制造公司和三星联合开发RTL-to-GDSII 45纳米流程,满足高级节点设计需要。该参考流程基于对应Common Power Format(CPF)的Cadence低功耗解决方案,而且还包含来自Cadence的关键可制造性设计(De
  • 关键字:CADENCECommon PlatformARMRTL-to-GDSII低功耗

提高DFT设计测试覆盖率的有效方法

新型高精度时钟芯片RTL-4553

  •   摘要:介绍EPSON公司最新推出的高精度时钟芯片RTC-4553的功能与特点。包括内部结构及引脚、功能控制和单字节的读程序。 关键词:单片机 时钟芯片 RTC-4553   现在流行的串行时钟芯片很多,如DS1302、DS1307、PCF8485等。这些芯片接口简单、价格低廉、使用方便,被广泛地采用,但这些芯片都存在时钟精度不高,易受环境影响,出现时钟混乱等缺点。本文介绍一种EPSON公司最新推出的RTC-4553时钟芯片。该芯片采用内置晶振和独特的数据方法,
  • 关键字:时钟芯片RTL-4553高精度MCU和嵌入式微处理器

8位单片机与以太网控制器RTL8029接口的VHDL设计

  • 以CPLD为器件,采用VHDL语言,设计了51单片机与32位PCI总线以太网控制器RTL8029之间的接口逻辑,实现了8位单片机与3 2位以太网控制器之间的通信。
  • 关键字:VHDLRTL8位单片机
共35条 3/3«123
关于我们- 广告服务- 企业会员服务- 网站地图- 联系我们- 征稿- 友情链接- 手机EEPW
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司
备案京ICP备12027778号-2 北京市公安局备案:1101082052 京公网安备11010802012473